約 6,655,140 件
https://w.atwiki.jp/elvis/pages/6956.html
Environmental Ergonomics Sustaining Human Performance in Harsh Environments Taylor & Francis? Igor B. Mekjavic? Eric W. Banister? James B. Morrison? Taylor&Francis? IgorB.Mekjavic? EricW.Banister? JamesB.Morrison? ジャンル別? Subjects-Computers&Internet-Business&Culture-ProjectManagement-Ergonomics? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Engineering-Reference? Subjects-Medicine-BasicScience-Physiology? Subjects-Medicine-Specialties-Obstetrics&Gynecology? Subjects-Medicine-Specialties-Occupational&IndustrialMedicine? Subjects-Outdoors&Nature-Environment-EnvironmentalScience Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-Reference? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-BasicSciences-Physiology? Subjects-Professional&Technical-Medical-Medicine-InternalMedicine-Occupational? Subjects-Science-EarthSciences-EnvironmentalScience Subjects-Science-General Subjects-Science-Reference-Engineering? Subjects-Science-Medicine-BasicScience-Physiology? Subjects-Science-Medicine-Specialties-Obstetrics&Gynecology? Subjects-Science-Medicine-Specialties-Occupational&IndustrialMedicine? Advances in Industrial Ergonomics and Safety I (Advances in Industrial Ergonomics and Safety) Routledge? Anil Mital? Routledge? AnilMital? ジャンル別? Subjects-Computers&Internet-Business&Culture-ProjectManagement-Ergonomics? Subjects-Engineering-Electrical&Electronics-Antennas&Radar? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Safety&Health? Subjects-Medicine-BasicScience-Physiology? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Antennas&Radar? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Safety&Health? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Subjects-Science-Technology-Safety&Health? Subjects-Science-Medicine-BasicScience-Physiology? Computer-Aided Ergonomics A Researcher's Guide Taylor & Francis? Waldemar Karwowski? Ashraf M. Genaidy? Shihab S. Asfour? Taylor&Francis? WaldemarKarwowski? AshrafM.Genaidy? ShihabS.Asfour? ジャンル別? Subjects-Arts&Photography-Architecture-Drawing&Modelling-CAD-CAD&CAM? Subjects-Computers&Internet-Software-Design&Development-SoftwareDesign? Subjects-Computers&Internet-Business&Culture-ProjectManagement-Ergonomics? Subjects-Computers&Internet-GraphicDesign-CAD-CAD&CAM? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Architecture-Drawing&Modelling-CAD-CAD&CAM? Subjects-Science-General Work Design in Practice (International Occupational Ergonomics Symposium//Proceedings) Taylor & Francis? L. M. Haslegrave? Corlett Wilson? Manenica I.? Taylor&Francis? L.M.Haslegrave? CorlettWilson? ManenicaI.? ジャンル別? Subjects-Business&Investing-Management&Leadership-QualityControl? Subjects-Computers&Internet-Business&Culture-ProjectManagement-Ergonomics? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Safety&Health? Subjects-Engineering-Reference? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Safety&Health? Subjects-Professional&Technical-Engineering-Reference? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-BusinessManagement-Management&Leadership-QualityControl? Subjects-Science-General Subjects-Science-Reference-Engineering? Subjects-Science-Technology-Safety&Health? A Guide to Manual Materials Handling Taylor & Francis? Anil Mital? A. S. Nicholson? M. M. Ayoub? Taylor&Francis? AnilMital? A.S.Nicholson? M.M.Ayoub? ジャンル別? Subjects-Computers&Internet-Business&Culture-ProjectManagement-Ergonomics? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-IndustrialDesign? Subjects-Medicine-Administration&Policy-PublicHealth? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-General? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-IndustrialDesign? Subjects-Professional&Technical-Engineering-General Subjects-Reference-General? Subjects-Science-Technology-Safety&Health? Subjects-Science-Medicine-Administration&Policy-PublicHealth? Simulation and the User Interface Taylor & Francis? M. Andrew Life? Caren S. Narborough-Hall? W. Ian Hamilton? Taylor&Francis? M.AndrewLife? CarenS.Narborough-Hall? W.IanHamilton? ジャンル別? Subjects-Computers&Internet-Programming-General? Subjects-Computers&Internet-Business&Culture-ProjectManagement-Ergonomics? Subjects-Computers&Internet-GraphicDesign-General? Subjects-Computers&Internet-ComputerScience-Modeling&Simulation? Subjects-Computers&Internet-ComputerScience-Human-ComputerInteraction? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Engineering-Reference? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-Reference? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Subjects-Science-Reference-Engineering? Human-Robot Interaction Taylor & Francis? Mansour Rahimi? Waldemar Karwowski? Taylor&Francis? MansourRahimi? WaldemarKarwowski? ジャンル別? Subjects-Business&Investing-Management&Leadership-QualityControl? Subjects-Computers&Internet-ComputerScience-ArtificialIntelligence-Robotics? Subjects-Computers&Internet-Business&Culture-ProjectManagement-Ergonomics? Subjects-Computers&Internet-General? Subjects-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Engineering-Mechanical-General? Subjects-Engineering-Mechanical-Robotics? Subjects-Engineering-Reference? Subjects-Professional&Technical-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-Reference? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-BusinessManagement-Management&Leadership-QualityControl? Subjects-Science-General Subjects-Science-Reference-Engineering? Human Factors in Air Traffic Control Taylor & Francis? V. David Hopkin? Taylor&Francis? V.DavidHopkin? ジャンル別? Subjects-Computers&Internet-Business&Culture-ProjectManagement-Ergonomics? Subjects-Engineering-Aerospace? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Safety&Health? Subjects-Health,Mind&Body-Psychology&Counseling-IndustrialPsychology? Subjects-Home&Garden-Antiques&Collectibles-Transportation? Subjects-Home&Garden-Antiques&Collectibles-General? Subjects-Nonfiction-Transportation-Aviation-General? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Safety&Health? Subjects-Professional&Technical-Engineering-Aerospace? Subjects-Professional&Technical-Engineering-General Subjects-Science-Technology-Safety&Health? Subjects-Science-Astronomy-Aeronautics&Astronautics? Introductory Special Relativity Taylor & Francis? W. G. V. Rosser? Taylor&Francis? W.G.V.Rosser? ジャンル別? Substores-UnknownASINs-24? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Professional&Technical-ProfessionalScience-Physics-Relativity? Subjects-Science-General Subjects-Science-Physics-General? Subjects-Science-Physics-Relativity? Subjects-Science-Physics-Electromagnetism-General? Robotics, Control and Society Essays in Honor of Thomas B. Sheridan Taylor & Francis? N. Moray? William R. Ferrell? William B. Rouse? Taylor&Francis? N.Moray? WilliamR.Ferrell? WilliamB.Rouse? ジャンル別? Subjects-Computers&Internet-ComputerScience-ArtificialIntelligence-Robotics? Subjects-Computers&Internet-Business&Culture-ProjectManagement-Ergonomics? Subjects-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Engineering-Mechanical-General? Subjects-Engineering-Mechanical-Robotics? Subjects-Engineering-Reference? Subjects-Professional&Technical-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-Reference? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Subjects-Science-Reference-Engineering? Contemporary Ergonomics 1990 Proceedings of the Ergonomics Society's 1990 Annual Conference Leeds, England, 3-6 April 1990 Ergonomics-Setting Sta (Contemporary Ergonomics) Taylor & Francis? E. J. Lovesey? Taylor&Francis? E.J.Lovesey? ジャンル別? Subjects-Business&Investing-General? Subjects-Computers&Internet-Business&Culture-ProjectManagement-Ergonomics? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Ergonomics? Subjects-Professional&Technical-Engineering-General The Physics of Creep Creep and Creep-Resistant Alloys Taylor & Francis? F. R. N. Nabarro? H. Filmer? H. L. De Villiers? Taylor&Francis? F.R.N.Nabarro? H.Filmer? H.L.DeVilliers? ジャンル別? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Engineering-Reference? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-Reference? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-General Subjects-Science-Mathematics-Applied? Subjects-Science-Physics-Nanostructures? Subjects-Science-Physics-Applied? Subjects-Science-Reference-Engineering? Engineering Design Interfaces Design Council? Charles Flurscheim? DesignCouncil? CharlesFlurscheim? ジャンル別? Subjects-Engineering-General? Subjects-Engineering-Reference? Subjects-Professional&Technical-Engineering-Reference? Subjects-Professional&Technical-Engineering-General Fifth International Conference on High Temperature and Energy-Related Materials Pion Ltd? G. De Maria? G. Balducci? PionLtd? G.DeMaria? G.Balducci? ジャンル別? Substores-UnknownASINs-24? Subjects-Engineering-Energy-HeatEngineering&Transfer? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Energy-HeatEngineering&Transfer? Subjects-Professional&Technical-Engineering-General Understanding and Measuring Vibrations Taylor & Francis Ltd? Robert H. Wallace? Taylor&FrancisLtd? RobertH.Wallace? ジャンル別? Subjects-Engineering-Aerospace? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Aerospace? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Industrial Radiology Techniques Taylor & Francis Ltd? R. Halmshaw? Taylor&FrancisLtd? R.Halmshaw? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-Physics? Strong Materials (The Wykeham Science Series) Taylor & Francis Ltd? J.W. Martin? Taylor&FrancisLtd? J.W.Martin? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General The Guinness Book of Aircraft Guinness World Records Limited? Michael J.H. Taylor? David Mondey? GuinnessWorldRecordsLimited? MichaelJ.H.Taylor? DavidMondey? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-History&Philosophy-HistoryofTechnology? Subjects-Science-Technology-General&Reference Guinness Aircraft Facts and Feats 1984 Sterling Pub Co Inc? Michael Taylor? David Mondey? SterlingPubCoInc? MichaelTaylor? DavidMondey? ジャンル別? Subjects-Engineering-Aerospace? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-Aviation-General? Subjects-Professional&Technical-Engineering-Aerospace? Subjects-Professional&Technical-Engineering-General Subjects-Reference-General? Space Flight The Records Sterling Pub Co Inc? Tim Furniss? SterlingPubCoInc? TimFurniss? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Reference-General? Subjects-Science-Astronomy-Aeronautics&Astronautics? The Guinness Book of the Car Guinness Superlatives? Anthony Harding? Warren Allport? David Hodges? John Davenport? GuinnessSuperlatives? AnthonyHarding? WarrenAllport? DavidHodges? JohnDavenport? ジャンル別? ユーズドブック(洋書)-Sports? Substores-UnknownASINs-24? Subjects-Engineering-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Racing? Subjects-Professional&Technical-Engineering-General Subjects-Sports-Miscellaneous-MotorSports? Subjects-Sports-General? Practical Pest Control in the Countryside Boydell & Brewer Inc? George Hogg? Boydell&BrewerInc? GeorgeHogg? ジャンル別? Subjects-Engineering-General? Subjects-Home&Garden-Gardening&Horticulture-General? Subjects-Professional&Technical-Engineering-General Must the Seas Die? MT Smith? Colin Moorcraft? MTSmith? ColinMoorcraft? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-Nature&Ecology-Oceans&Seas-Oceanography-General? Cycling on (Wingate S) Dinosaur Pubns.? Ray Hallett? DinosaurPubns.? RayHallett? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Boeing 727 Air Britain Historians Ltd? John A. Whittle? AirBritainHistoriansLtd? JohnA.Whittle? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Lockheed L-188 Electra and Orion Air Britain Historians Ltd? J.L. Sherlock? AirBritainHistoriansLtd? J.L.Sherlock? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Austin 100/ 6 and 3000 1956-68 Autobook Autobooks? Autobooks? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Rover Three Litre 1958-67 Autobook Autopress? Kenneth Ball? Autopress? KennethBall? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General 1100 Mk.1 1962-67 Autobook Autopress? Kenneth Ball? Autopress? KennethBall? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Datsun 160B, 180B, 610 1972-76 Autobook Autobooks? Kenneth Ball? Autobooks? KennethBall? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Triumph TR7 1975-76 Autobook Autobooks? Kenneth Ball? Autobooks? KennethBall? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General World Float Planes DB Barton? Godfrey Richard Duval? DBBarton? GodfreyRichardDuval? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Municipal Buses of the 1960's Ian Allan Ltd? Lyndon W. Rowe? IanAllanLtd? LyndonW.Rowe? ジャンル別? Subjects-Engineering-General? Subjects-Nonfiction-Automotive-General? Subjects-Professional&Technical-Engineering-General Midland Red Buses Ian Allan Ltd? M.W. Greenwood? IanAllanLtd? M.W.Greenwood? ジャンル別? Subjects-Engineering-General? Subjects-Nonfiction-Automotive-General? Subjects-Professional&Technical-Engineering-General The Slide Rule for Sea and Air Navigation Brown, Son and Ferguson, Ltd? J.C. Podmore? Brown,SonandFerguson,Ltd? J.C.Podmore? ジャンル別? ユーズドブック(洋書)-Sports? Substores-UnknownASINs-24? Subjects-Engineering-General? Subjects-History-Military-Naval? Subjects-Outdoors&Nature-OutdoorRecreation-Sailing-Navigation? Subjects-Professional&Technical-Engineering-General Subjects-Sports-WaterSports-Sailing-Navigation? Fire Abroad Sheridan House Inc? Rushbrook? SheridanHouseInc? Rushbrook? ジャンル別? Subjects-Engineering-General? Subjects-History-Military-Naval? Subjects-Professional&Technical-Engineering-General Subjects-Reference-General? Jetliners in Service Since 1952 Putnam Publishing Group? John Stroud? PutnamPublishingGroup? JohnStroud? ジャンル別? Substores-UnknownASINs-24? Subjects-Engineering-Aerospace? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-Aviation-Commercial? Subjects-Nonfiction-Transportation-Aviation-General? Subjects-Professional&Technical-Engineering-Aerospace? Subjects-Professional&Technical-Engineering-General Yakovlev Aircraft Since 1924 (Putnam's Russian Aircraft) Brassey s Putnam Aeronautical? Bill Gunston? Yefim Gordon? Brassey s PutnamAeronautical? BillGunston? YefimGordon? ジャンル別? Substores-UnknownASINs-24? Subjects-Engineering-SpecialTopics-MilitaryEngineering? Subjects-Engineering-General? Subjects-History-Asia-Russia? Subjects-History-Europe-General? Subjects-History-Military-Aviation? Subjects-Nonfiction-Transportation-Aviation-General? Subjects-Professional&Technical-Engineering-SpecialTopics-MilitaryEngineering? Subjects-Professional&Technical-Engineering-General Subjects-Science-History&Philosophy-HistoryofTechnology? Daimler Tradition Transport Bookman Publications? Brian Ernest Smith? TransportBookmanPublications? BrianErnestSmith? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General History of Lamborghini Transport Bookman Publications? Richard Crump? Rob De La Rive Box? TransportBookmanPublications? RichardCrump? RobDeLaRiveBox? ジャンル別? Subjects-Engineering-General? Subjects-History-Americas-UnitedStates? Subjects-Professional&Technical-Engineering-General Daimler Tradition Transport Bookman Publications? Brian Ernest Smith? TransportBookmanPublications? BrianErnestSmith? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General History of Lamborghini Transport Bookman Publications? Rob De La Rive Box? Richard Crump? TransportBookmanPublications? RobDeLaRiveBox? RichardCrump? ジャンル別? Subjects-Engineering-General? Subjects-Nonfiction-Automotive-Luxury? Subjects-Professional&Technical-Engineering-General Royal Daimlers Transport Bookman Publications? Brian Ernest Smith? TransportBookmanPublications? BrianErnestSmith? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Aston Martin, 1914-40 Transport Bookman Publications? Inman Hunter? TransportBookmanPublications? InmanHunter? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Barnstormer The Story of Bmw Motorcycles Motorbooks Intl? L. J. K. Setright? MotorbooksIntl? L.J.K.Setright? ジャンル別? Subjects-Biographies&Memoirs-General? Subjects-Engineering-General? Subjects-Nonfiction-Automotive-Motorcycles-General? Subjects-Nonfiction-Automotive-Racing? Subjects-Professional&Technical-Engineering-General Commercial Vehicles in Great Britain Transport Bookman Publications? Les Geary? TransportBookmanPublications? LesGeary? ジャンル別? Subjects-Engineering-General? Subjects-History-Europe-England-General? Subjects-Professional&Technical-Engineering-General Biodegradable Polymers and Plastics (Special Publication (Royal Society of Chemistry (Great Britain))) Crc Pr I Llc? M. Vert? J. Feijen? A. Albertsson? G. Scott? Emo Chiellini? CrcPrILlc? M.Vert? J.Feijen? A.Albertsson? G.Scott? EmoChiellini? ジャンル別? Subjects-Engineering-Chemical-PolymerChemistry? Subjects-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-MaterialsScience-PolymerScience? Subjects-Medicine-BasicScience-Physiology? Subjects-Professional&Technical-Engineering-Chemical-PolymerChemistry? Subjects-Professional&Technical-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-PolymerScience? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Subjects-Science-Medicine-BasicScience-Physiology? Understanding Our Environment Crc Pr I Llc? Roy M. Harrison? CrcPrILlc? RoyM.Harrison? ジャンル別? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-General? Subjects-Outdoors&Nature-Environment-EnvironmentalScience Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-General Subjects-Science-Chemistry? Subjects-Science-EarthSciences-EnvironmentalScience Subjects-Science-General Difussive Sampling An Alternative Approach to Workplace Air Monitoring (Royal Society of Chemistry, Special Publication, No 10555) Royal Society of Chemistry? A. Berlin? R. H. Brown? K. J. Saunders? RoyalSocietyofChemistry? A.Berlin? R.H.Brown? K.J.Saunders? ジャンル別? Subjects-Business&Investing-BusinessLife-Workplace? Subjects-Engineering-SpecialTopics-AppliedAtmosphericSciences? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Pollution-Air? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Pollution-Air? Subjects-Professional&Technical-Engineering-SpecialTopics-AppliedAtmosphericSciences? Subjects-Professional&Technical-Engineering-General Subjects-Reference-General? Subjects-Science-Chemistry? Subjects-Science-EarthSciences-AtmosphericSciences-AtmosphericChemistry? Supervision of Technical Staff An Introduction for Line Supervisors Crc Pr I Llc? R. Weston? D. C. Norton? M. Grimshaw? CrcPrILlc? R.Weston? D.C.Norton? M.Grimshaw? ジャンル別? Subjects-Business&Investing-Industries&Professions-HumanResources&PersonnelManagement? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-BasicSciences-Chemistry? Subjects-Professional&Technical-BusinessManagement-HumanResources&PersonnelManagement? Subjects-Reference-General? Subjects-Science-Chemistry-General&Reference? Subjects-Science-Experiments,Instruments&Measurement-Microscopes&Microsocopy? Subjects-Professional&Technical-Engineering-General 洋書
https://w.atwiki.jp/elvis/pages/6851.html
Introduccion a la ingenieria y al diseno en la ingenieria / An Introduction to Engineering Engineering Design Limusa? Edward V. Krick? Limusa? EdwardV.Krick? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-56? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Subjects-Science-Technology-General&Reference Ingenieria de cimentaciones / Foundation Engineering Limusa? Ralph Brazelton Peck? Limusa? RalphBrazeltonPeck? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-Civil-Construction-Materials? Subjects-Engineering-Civil-Construction-Masonry? Subjects-Engineering-Civil-Foundations&SoilMechanics? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-Civil-Construction-Materials? Subjects-Professional&Technical-Engineering-Civil-Construction-Masonry? Subjects-Professional&Technical-Engineering-Civil-Foundations&SoilMechanics? Subjects-Professional&Technical-Engineering-General Analisis Matematico Avanzado/ Advanced Engineering Analysis Con Aplicaciones a Ingenieria Y Ciencias / With Applications to Engineering and Sciences Limusa? J. N. Reddy? M. L. Rassmussen? Limusa? J.N.Reddy? M.L.Rassmussen? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Mathematics-PureMathematics-Algebra-General? Subjects-Science-Mathematics-MathematicalAnalysis? Subjects-Science-Mathematics-PureMathematics-Algebra-General? Subjects-Science-Mathematics-Applied-Engineering-General? El Abc De Las Instalaciones Electricas Industriales Limusa? Gilberto Enriquez Harper? Limusa? GilbertoEnriquezHarper? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Nonfiction-Education-General? Subjects-Professional&Technical-Engineering-General Manual De Formulas De Ingenieria / Manual of Engineering Formulas Rafael Garcia? undefined RafaelGarcia? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Subjects-Science-Mathematics-Reference? Subjects-Science-Mathematics-Applied-Engineering-General? Geologia aplicada a la ingenieria civil / Geology Applied to Civil Engineering Limusa? Mariano Ruiz? Limusa? MarianoRuiz? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-Civil-Construction-General? Subjects-Engineering-Civil-Geotechnical? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-Civil-Construction-General? Subjects-Professional&Technical-Engineering-Civil-Geotechnical? Subjects-Professional&Technical-Engineering-General Subjects-Science-EarthSciences-Geology-General? Manual De Tecnologia Del Concreto Limusa? C. F. E.? Limusa? C.F.E.? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Nonfiction-Education-General? Subjects-Professional&Technical-Engineering-General Fundamentos De Termodinamica Limusa? Gordon J. Van Wylen? Limusa? GordonJ.VanWylen? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Nonfiction-Education-General? Subjects-Professional&Technical-Engineering-General Ingenieria Simplificada para Arquitectos y Constructores/ Simplified Engineering for Architects and Builders Harry Parker? James Ambrose? undefined HarryParker? JamesAmbrose? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Arts&Photography-Architecture-BuildingTypes&Styles-General? Subjects-Engineering-Civil-Structural? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-Civil-Structural? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Architecture-BuildingTypes&Styles-General? Matematicas Avanzadas Para Ingenieria Limusa? Erwin Kreyszig? Limusa? ErwinKreyszig? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Nonfiction-Education-General? Subjects-Professional&Technical-Engineering-General Hidraulica de canales / Canal Hydraulics Limusa? Eduard Naudascher? Limusa? EduardNaudascher? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-Civil-Hydrology? Subjects-Engineering-Civil-Environmental-Hydraulics? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-Civil-Hydrology? Subjects-Professional&Technical-Engineering-Civil-Environmental-Hydraulics? Subjects-Professional&Technical-Engineering-General Fundamentos De Metodos Matematicos Para Fisica E Ingenieria / Basis of Mathematic Methods for Physic and Engineering Evguenii Kurmyshev? undefined EvgueniiKurmyshev? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Subjects-Science-Mathematics-Applied-Engineering-General? Subjects-Science-Mathematics-MathematicalPhysics? Introduccion A La Ingenieria/introduction To Engineering Paul Wrigth? Raul Arrioja Juarez? undefined PaulWrigth? RaulArriojaJuarez? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Materiales y Procedimientos de Construccion Tomo 2 Trillas? Vicente Perez Alama? Trillas? VicentePerezAlama? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Tratamientos Termicos De La a a La Z/ Thermal Treatments from a to Z Maria Elena Sanchez? undefined MariaElenaSanchez? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Fundamentos de Electromagnetismo Para Ingenieria Addison Wesley Longman? David K. Cheng? AddisonWesleyLongman? DavidK.Cheng? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-Electrical&Electronics-General? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-Electrical&Electronics-General? Subjects-Professional&Technical-Engineering-General Diseo Electronico - 3 Edicion Prentice Hall? C. J. Savant? PrenticeHall? C.J.Savant? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Estudios de Tiempos y Movimientos Prentice Hall? Fred E. Meyers? PrenticeHall? FredE.Meyers? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Metodos Numericos Para Ingenieros McGraw-Hill Companies? R. Canale? Steven Chapra? McGraw-HillCompanies? R.Canale? StevenChapra? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Ingenieria Mecanica Dinamica - 7 Edicion Prentice Hall? R. C. Hibbeler? PrenticeHall? R.C.Hibbeler? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Introduccion a la Termodinamica Ing. Quimica 5b*ed McGraw-Hill Interamericana? M. M. Abbott? J. M. Smith? H. C. Van Ness? McGraw-HillInteramericana? M.M.Abbott? J.M.Smith? H.C.VanNess? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Diseno de Maquinaria Sintesis y Analisis de Maquinas y Mecanismos with CDROM McGraw-Hill Interamericana? Robert L. Norton? Rodolfo Navarro Salas? McGraw-HillInteramericana? RobertL.Norton? RodolfoNavarroSalas? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Ingenieria Electrica Para Todos Los Ingenieros Alfaomega Grupo Editor? Roadstrum? AlfaomegaGrupoEditor? Roadstrum? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Analisis y Diseno de Estructuras Tomo 2 Estructura Alfaomega Grupo Editor? Juarez Antonio Heberto Castillo? Martinez Heberto Castillo? AlfaomegaGrupoEditor? JuarezAntonioHebertoCastillo? MartinezHebertoCastillo? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Ingenieria Industrial - 10b* Edicion Alfaomega Grupo Editor? Benjamin Niebel? AlfaomegaGrupoEditor? BenjaminNiebel? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Simulacion de Circuitos Electronicos de Potencia Alfaomega Grupo Editor? Emilio Figueres Amoros? AlfaomegaGrupoEditor? EmilioFigueresAmoros? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Materiales En Ingenieria. Problemas Resueltos Alfaomega Grupo Editor? Francisco Javier Gil Mur? AlfaomegaGrupoEditor? FranciscoJavierGilMur? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Diseno de Concreto Reforzado Alfaomega Grupo Editor? Jack C. McCormac? AlfaomegaGrupoEditor? JackC.McCormac? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Home&Garden? Subjects-Engineering-Civil-Construction-General? Subjects-Engineering-General? Subjects-Home&Garden-HomeDesign-Buildings&Construction? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-Civil-Construction-General? Subjects-Professional&Technical-Engineering-General Diseno E Ingenieria Electronica Asistida Con Protel Dxp Alfaomega Grupo Editor? Manuel Torres Portero? AlfaomegaGrupoEditor? ManuelTorresPortero? ジャンル別? ユーズドブック(洋書)-Computers&Internet? ユーズドブック(洋書)-Engineering Subjects-Computers&Internet-General? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Senales y Sistemas Prentice Hall? Alan V. Oppenheim? PrenticeHall? AlanV.Oppenheim? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Termodinamica - 3b Edicion Oxford University Press? Jose Angel Manrique Valadez? OxfordUniversityPress? JoseAngelManriqueValadez? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Ciencia E Ingenieria de Los Materiales - 4b Edicion Thomson International? Donald R. Askeland? ThomsonInternational? DonaldR.Askeland? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Vibraciones Thomson International? Balakumar Balachandran? Patrick R. Moran? ThomsonInternational? BalakumarBalachandran? PatrickR.Moran? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Images of Development Egyptian Engineers in Search of Industry Amer Univ in Cairo Pr? Clement Henry Moore? AmerUnivinCairoPr? ClementHenryMoore? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-56? Subjects-Business&Investing-Management&Leadership-Industrial? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-BusinessManagement-Management&Leadership-Industrial? Subjects-Science-General Structural Pattern Analysis (Series in Computer Science) World Scientific Pub Co Inc? Roger Mohr? Theo Pavlidis? Alberto Sanfeliu? WorldScientificPubCoInc? RogerMohr? TheoPavlidis? AlbertoSanfeliu? ジャンル別? ユーズドブック(洋書)-Computers&Internet? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-56? Subjects-Computers&Internet-ComputerScience-SoftwareEngineering-InformationSystems? Subjects-Computers&Internet-Programming-PatternRecognition? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Role of Women in the Development of Science and Technology in the Third World Proceedings of the Conference Organized by the Canadian International World Scientific Pub Co Inc? A. M. Faruqui? M. H. A. Hassan? G. Sandri? WorldScientificPubCoInc? A.M.Faruqui? M.H.A.Hassan? G.Sandri? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Business&Investing-Economics-EconomicPolicy&Development? Subjects-Engineering-General? Subjects-Nonfiction-SocialSciences-GenderStudies-Women? Subjects-Nonfiction-SocialSciences-Sociology-General? Subjects-Nonfiction-Economics-EconomicPolicy&Development? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Accounting&Finance-Economics-EconomicPolicy&Development? Subjects-Science-General Subjects-Science-Technology-General&Reference Engineering Science, Fluid Dynamics A Symposium to Honor T.Y. Wu California Institute of Technology August 17-18, 1989 World Scientific Pub Co Inc? Theodore Y. T. Wu? George T. Yates? WorldScientificPubCoInc? TheodoreY.T.Wu? GeorgeT.Yates? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-56? Subjects-Engineering-General? Subjects-Engineering-Mechanical-FluidMechanics? Subjects-Professional&Technical-Engineering-Mechanical-FluidMechanics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Dynamics? Subjects-Science-General Subjects-Science-Physics-Dynamics-General? Subjects-Science-Physics-Dynamics-FluidDynamics? Subjects-Science-Physics-FluidMechanics? Subjects-Science-Reference-General? Fundamentals of Engineering Elasticity World Scientific Pub Co Inc? S. F. Borg? WorldScientificPubCoInc? S.F.Borg? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-56? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-Physics-Nanostructures? Genetic Algorithms and Robotics A Heuristic Strategy for Optimization (Series in Robotics and Automated Systems Vol 1) World Scientific Pub Co Inc? Yuval Davidor? WorldScientificPubCoInc? YuvalDavidor? ジャンル別? ユーズドブック(洋書)-Computers&Internet? ユーズドブック(洋書)-Engineering Subjects-Computers&Internet-ComputerScience-ArtificialIntelligence-Robotics? Subjects-Computers&Internet-ComputerScience-ArtificialIntelligence-Heuristic&ConstrainedSearch? Subjects-Computers&Internet-ComputerScience-ArtificialIntelligence-GeneticAlgorithms? Subjects-Computers&Internet-ComputerScience-Algorithms-General? Subjects-Computers&Internet-General? Subjects-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Engineering-Mechanical-Robotics? Subjects-Engineering-Bioengineering-GeneticEngineering? Subjects-Professional&Technical-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Bioengineering-GeneticEngineering? Subjects-Science-Mathematics-Applied? Parallel Computation Systems for Robotics Algorithms and Architectures (Series in Robotics and Automated Systems, Vol 2) World Scientific Pub Co Inc? A. Fijany? A. Bejczy? WorldScientificPubCoInc? A.Fijany? A.Bejczy? ジャンル別? ユーズドブック(洋書)-Computers&Internet? ユーズドブック(洋書)-Engineering Subjects-Computers&Internet-ComputerScience-ArtificialIntelligence-Robotics? Subjects-Computers&Internet-ComputerScience-Algorithms-General? Subjects-Computers&Internet-Hardware-SystemArchitecture-ParallelComputing? Subjects-Computers&Internet-General? Subjects-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Engineering-General? Subjects-Engineering-Mechanical-Automation? Subjects-Engineering-Mechanical-General? Subjects-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Professional&Technical-Engineering-Mechanical-Automation? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-General Subjects-Science-Mathematics-Applied-General? Limit Analysis of Structures at Thermal Cycling (Monographs and Textbooks on Mechanics of Solids and Fluids) Kluwer Academic Pub? D. A. Gokhfeld? O. F. Cherniavsky? F. O. Cherniavsky? KluwerAcademicPub? D.A.Gokhfeld? O.F.Cherniavsky? F.O.Cherniavsky? ジャンル別? ユーズドブック(洋書)-Computers&Internet? ユーズドブック(洋書)-Engineering Subjects-Computers&Internet-General? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? Mechanics of Solids and Structures World Scientific Publishing? F.W. Travis? D.T. Lwin? WorldScientificPublishing? F.W.Travis? D.T.Lwin? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-56? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-General Intelligent Robotic Planning Systems (World Scientific Series in Robotics and Automated Systems, Vol 3) World Scientific Pub Co Inc? Phillip C-Y Sheu? Q. Xue? WorldScientificPubCoInc? PhillipC-YSheu? Q.Xue? ジャンル別? Subjects-Computers&Internet-ComputerScience-ArtificialIntelligence-Robotics? Subjects-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-General Inhomogeneous Waves in Solids and Fluids (Series in Theoretical and Applied Mechanics) World Scientific Pub Co Inc? G. Caviglia? A. Morro? WorldScientificPubCoInc? G.Caviglia? A.Morro? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Engineering-Mechanical-FluidMechanics? Subjects-Professional&Technical-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-Mechanical-FluidMechanics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Waves&WaveMechanics? Subjects-Science-General Subjects-Science-Mathematics-Applied? Subjects-Science-Physics-Dynamics-FluidDynamics? Subjects-Science-Physics-Waves&WaveMechanics? Subjects-Science-Physics-FluidMechanics? Nonlinear Problems in Engineering (Proceedings of the Enea Workshops on Nonlinear Dynamics, Vol 4) World Scientific Pub Co Inc? Costantino Carmignani? Giuseppe Maino? WorldScientificPubCoInc? CostantinoCarmignani? GiuseppeMaino? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-56? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-Mathematics-Applied-Engineering-General? Advanced Tactile Sensing for Robotics (World Scientific Series in Robotics and Automated Systems, Vol 5) World Scientific Pub Co Inc? Howard R. Nicholls? WorldScientificPubCoInc? HowardR.Nicholls? ジャンル別? ユーズドブック(洋書)-Computers&Internet? ユーズドブック(洋書)-Engineering Subjects-Computers&Internet-ComputerScience-ArtificialIntelligence-Robotics? Subjects-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-General Ocean Disposal of Wastewater (Advanced Series on Ocean Engineering, Volume 8) World Scientific Pub Co Inc? I. R. Wood? Robert G. Bell? Deanna L. Wilkinson? WorldScientificPubCoInc? I.R.Wood? RobertG.Bell? DeannaL.Wilkinson? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Outdoors&Nature Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Engineering-Civil-Environmental-SewageDisposal&Treatment? Subjects-Engineering-General? Subjects-Outdoors&Nature-Conservation-General? Subjects-Outdoors&Nature-Conservation-Water? Subjects-Outdoors&Nature-NaturalResources-WaterSupply&LandUse? Subjects-Outdoors&Nature-Ecosystems-Oceans&Seas? Subjects-Outdoors&Nature-Environment-Conservation? Subjects-Outdoors&Nature-Environment-WaterSupply? Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Professional&Technical-Engineering-Civil-Environmental-SewageDisposal&Treatment? Subjects-Professional&Technical-Engineering-General Subjects-Science-EarthSciences-Oceans&Seas? Subjects-Science-Nature&Ecology-Oceans&Seas-Oceanography-General? Subjects-Science-Nature&Ecology-WaterSupply&LandUse? Subjects-Science-Nature&Ecology-Environment-Conservation? Subjects-Science-Nature&Ecology-Environment-WaterSupply? The Magnetism of Amorphous Metals and Alloys World Scientific Pub Co Inc? J. A. Fernandez-Baca? Wai-Yim Ching? WorldScientificPubCoInc? J.A.Fernandez-Baca? Wai-YimChing? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Engineering-Materials-Metallurgy? Subjects-Engineering-Materials-Properties-Magnetic? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Metallurgy? Subjects-Professional&Technical-Engineering-Materials-Properties-Magnetic? Subjects-Professional&Technical-ProfessionalScience-Physics-Electromagnetism? Subjects-Professional&Technical-ProfessionalScience-Physics-SolidStatePhysics? Subjects-Science-General Subjects-Science-Physics-General? Subjects-Science-Physics-Solid-StatePhysics-General? Subjects-Science-Physics-Solid-StatePhysics-CondensedMatter? Subjects-Science-Physics-Electromagnetism-General? Modelling and Simulation of Robot Manipulators A Parallel Processing Approach (World Scientific Series in Robotics and Automated Systems, Vol 8) World Scientific Pub Co Inc? Albert Y. Zomaya? WorldScientificPubCoInc? AlbertY.Zomaya? ジャンル別? ユーズドブック(洋書)-Computers&Internet? ユーズドブック(洋書)-Engineering Subjects-Computers&Internet-ComputerScience-ArtificialIntelligence-Robotics? Subjects-Computers&Internet-Hardware-SystemArchitecture-ParallelComputing? Subjects-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-General Matched Field Processing for Underwater Acoustics World Scientific Pub Co Inc? Alexandra Tolstoy? WorldScientificPubCoInc? AlexandraTolstoy? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-68? Subjects-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Acoustics&Sound? Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Science-General Subjects-Science-Physics-Acoustics&Sound? Subjects-Science-Physics-Dynamics-General? Subjects-Science-Physics-General? Subjects-Science-Physics-Applied? Subjects-Professional&Technical-Engineering-General 洋書
https://w.atwiki.jp/lib_study/pages/12.html
アルファベット順用語集 Glossary accession number 受入番号 a running number allocated to items as they are processed by the library, serving as a unique identifier for the item within the collection. その図書館によって処理されたときに資料に割り当てられる連続した番号で、蔵書の中でその資料のためのユニークな[他とは異なる]識別子として役に立つ。 alphabetical subject catalogue アルファベット順主題目録 a catalogue or index in which entries are arranged by the names of the subjects stated in the form of *b subject headings. b* Subject headings may be taken from an authority such as the *b Library of Congress Subject Headings, b* or can be derived from the subject strings used for classification. その中で見出し語が件名標目の形で記された、主題名称順に並べられている目録やインデックス【見出し語が「主題標目」という形で提示された主題の名称順によって並べられている目録あるいは索引】。件名標目【→主題標目】は米国議会【図書館】件名標目【表】のようなある権威あるところauthorityから採用されていることもある、または分類のために使われる主題の一続きstringsから由来することもある。 alternatives 別法 optional treatments or locations for particular subjects. Where an alternative is available, an initial decision must be made as to which is used, and the other alternative abandoned; in the library situation non-preferred alternatives should be deleted from the schedules. 特定の主題のための、自分で選べる取り扱いや配置。別法が用意【→利用可能と】されているところでは、どちら【どれ】が使われるかについての最初の決定がなされねばならず、残った【→それ以外の】別法は捨てられなければならない;すなわち、当該館においては選ばれなかった別法は分類表から削除されるべきである。 "and the other"とあるので、選択肢が二つ以上かもしれない analytico-synthetic classification an analytico-synthetic scheme in which classmarks not represented in the *b schedules b* may be created by the classifier; the content is *i analysed i* and classmarks for the different elements are linked together to *i synthesize i* the new classmark. See also *b faceted classification. b* 分析合成型分類法 分類表中に表現されていない分類記号が分類付与者によって創出されうる分析合成型の体系【→表】;内容が分析され、異なる要素のための分類記号は互いに関連付けられ、[結果として]新しい分類記号を合成する。 systemとschedule:systemは体系全般的/scheduleはその分類法の具体的な表 tableとschedule:tableは表/scheduleは一覧表 とでも分ける? それとも、表それぞれはtableで、いくつかの表の総称としてschedule、 approximation to the whole the matching in DDC of the subject of a document to the class to which it is assigned. If the main subject of the document is equivalent, or nearly equivalent, to the class, it is said to approximate to the whole. For example, horsemanship would approximate to the whole of the class riding . ほぼ全体に近い(DDC glossary)全体への近接? その文献の主題と、その文献が割り当てられたクラスとのDDCにおけるマッチング[DDCでの一致]【その文献の主題を、DDCで割り当てられたクラスにあわせること】。もし、その文献の主たる主題が、そのクラスと同義である、またはほとんど同義であるなら、全体に近接しているといわれる。たとえば、「馬術」はクラス「乗馬」の全体と近接しているだろう。 inには、Class in のニュアンス? array a group of sub-classes all derived by applying the same principle of division to the containing class e.g., if the class of human beings is divided by the principle of age , the sub-classes babies , children , adolescents and adults form an array. アレイ 包含するクラスに区分する際、同じ原理を適用することに由来する[適用することでできる]サブクラスのひと塊:例、人間のクラスを年齢という原理で区分するなら、サブクラスの赤ちゃん、子ども、青年期、と大人がアレイを形成する。 aspect classification a classification in which the first division of the classification is into disciplines or fields of study, such as history, science, technology, art. These divisions are known as mainclasses. An entity or phenomenon (rabbits, castles, water, administration) may appear in several places in the classification according to the aspect from which it is considered. *b Bibliographic classifications b* are normally aspect classifications. アスペクト【→観点】分類法 分類法の最初の区分が歴史、科学、技術、芸術のような研究の学問分野や領域【学問分野、あるいは研究の領域】にわけられている分類法。これらの区分は主クラス【→メインクラス】として知られている。実体や事象(ウサギ、城、行政)はそれが想定されているアスペクト【→観点】にしたがって、分類法のいくつかの場所に現れても良い。書誌分類法は通常、アスペクト【→観点】分類法である。 authority file a file in which previous decisions are recorded, and used to ensure that subsequent decisions conform. In electronic catalogues authority files are usually the sum of all data in a specific field. 典拠ファイル それまでの決定が記録されているファイルで、次の決定を確実に[前の決定と]一致させるために使われるファイル。電子的な目録では、典拠ファイルは、通常、ある特定の分野におけるすべてのデータを集結したものである。 auxiliary schedules schedules or tables which deal with commonly occurring concepts such as place, time or form, and which can be added to any classmark from the main schedules. In some schemes special auxiliaries exist for use in a particular class. 補助表 場所、時間、形式のような共通に起こる概念を処理する表またはテーブルで、本表からのいかなる分類記号にも付加されうる表やテーブル。いくつかの体系においては特定のクラスにおいて使われるために、特別の補助表が存在する。 auxiliary table see *b auxiliary schedules. b* 補助テーブル 補助表をみよ BC1, BC2 see *b Bliss s Bibliographic Classification b* ブリス書誌分類法をみよ bibliographic classification a classification for indexing and organizing documents; a conventional library classification. 書誌分類法 文献の索引付けや組織化のための分類法;従来型の図書館分類法 bibliographic details attributes of a document (such as the author, title, publisher, date and place of publication) which are conventionally used to describe it in catalogues and bibliographies. 書誌的詳細 目録や書誌に文献を記述するために、従来から使われてきた、文献の属性(著者、タイトル、出版者、出版の日付と場所のような) Bliss Bibliographic Classification a scheme often regarded as the most scholarly of the general schemes. It was never used in Bliss s native America, but was favoured by a number of UK and Common-wealth academic and special libraries. Because of its unique main class for social welfare, the first edition, BCI, was widely adopted by many charity and social welfare libraries in the UK. The second edition, BC2, is the only general scheme built on faceted principles published in the Western world. ブリス書誌分類法 総合的な[全分野にわたる]体系の中でもっとも学問的【→学究的】であるとしばしばみなされる体系。それはブリスの出生地であるアメリカでは使われたことがないが、英国とイギリス連邦のたくさんの学術図書館や特定の図書館に支持された。それが社会福祉のために対【→他に】類のないメインクラスを持つゆえに、初版であるBC1は英国の多くの慈善組織や社会福祉の図書館によって幅広く採用された。第2版であるBC2は西側世界で出版されたファセット原理に基づいて構築された唯一の総合的な体系である。 book number a number constructed for each item in a collection that uniquely identifies it. A book number (on the model of the Library of Congress) consists of a *b classmark b* plus a code for the author s name, and may also include other elements such as a date of publication or edition number. In other libraries the *b accession number b* of the book may serve the same purpose. 図書記号 (←図書館情報学用語辞典) ある蔵書における個々の資料を他とは違うものとして確認する、その資料のために組み立てられた番号。(米国議会図書館をモデルにすると)ある図書記号は分類記号と著者名をコードに置き換えたものから成り、出版の日付や版次のような他の要素も含みうる。他の図書館では、その本の受入れ番号が同じ目的を果たしうる bottom-up classification a classification which is built up from the vocabulary of a subject, by analysing and then grouping individual terms into arrays and facets. ボトムアップ分類法 分析し個々の用語をアレイやファセットの中へとグループ化することによって、主題の語彙から構築された分類法 broad classification classification in which the items are classed more generally than their subjects might warrant. Broad classification is sometimes used for arranging books on the shelf (for b* browsing b*), while a more precise classmark is provided on the catalogue record (for retrieval). 疎分類作業(田窪256)簡略分類法(BC2-8)大まかな分類 その中では資料が、その資料の主題が保証しうるよりも、漠然と分類される、そういう分類【→資料が、その主題を正当とするよりもより大まかに分類されるような分類】。大まかな分類はしばしば書架上の本を配列する(ブラウジングのために)ために用いられ【(る)】、一方、より精密な分類記号が目録記録上で提供される(探索のために)。 broader term (BT) term which is more general in meaning than the term to which it is related e.g., citrus fruit is a broader term related to oranges . 上位語、広範語 それが関連している用語よりも、意味的により漠然としている用語。例、かんきつ類の果物は、オレンジに関連する上位語である。 browsing the process whereby users physically scan the collection, gaining an overview of its content. In an automated catalogue or database users may also browse the indexes for the same purpose. Browsing is often contrasted with catalogue searching (or retrieval) where the object is to locate one or more specific items. ブラウジング 利用者が物理的に蔵書をその内容の概要をつかむために、さっと目を通すことによってなされる過程。機械化目録やデータベースでは、利用者は同じ目的のためにインデックスをもブラウズする。ブラウジングは、目的がひとつまたはそれ以上の特定の資料を探し出すことである、目録検索(または探索)としばしば対比される。 call-mark the shelf-mark of a book which comprises the classmark and any other notation representing the author name, date of publication, edition information, size, form, etc.. 請求記号 分類記号と著者名、出版の日付、編集【版】情報、サイズ、形態、などを表現する何らかの他の記号から成る、ある本の書架記号 caption the class description or heading in a classification scheme. See also scope note. 説明文【見出し】 ある分類体系においる、クラスの記述や表題。 ※単語自体には、両方の意味がある categories the groups into which terms are sorted in facet analysis. In modern classification theory the generally recognized categories are thing , kind , part , material , property , process , operation , agent , space and time , although others are found in particular disciplines. カテゴリ 用語がファセット分析でその中に仕分けされる、そういうグループ。現代の分類理論では、一般に認識されているカテゴリは「事物」、「種類」、「部分」、「素材【材料】」、「性質」、「過程」、「操作」、「作用因」、「空間」、そして「時間」である、他に特定の学問分野で見出されているものがあるけれども。 categorization(1) the allocation of terms to categories in facet analysis. カテゴリー化(1) ファセット分析において用語をカテゴリに割り当てること categorization(2) a system of very broad classification used by some public libraries in preference to a traditional bibliographic classification. See also reader interest classification. カテゴライゼイション(2) 伝統的な書誌分類法ではなく、いくつかの公共図書館によって使われているとても大まかな分類法のシステム CC see Colon Classification. コロン分類法をみよ characteristic of division see principle of division. 区分特性 区分原理をみよ citation order the order in which the parts of a compound subject are combined when creating a classmark or subject heading. The citation order determines which aspects of a subject are brought together and which are scattered. See also distributed relatives, filing order, schedule order. 引用順序 分類記号や件名標目が創られるときに、その順番で複合主題の構成部分が結合される、そういう順番。引用順序は主題のどの観点がくっつけられて、どれが分散されるのかを決定する。分散関係、列挙【配列】順序、表の順序をもみよ。 class a set whose members share some common feature; sometimes used as a synonym for main class. クラス そのメンバーが何らかの共通な特徴を共有する、そういうメンバーの集合;しばしば、メインクラスの同義語として使われる。 classification scheme a set of classes organized in a systematic fashion to show the relationships between them; the classification consists of a vocabulary (the terms used to represent the classes) and syntax (the rules for combining classes). A classification scheme is an example of a controlled indexing language. 分類表 分類体系 クラス間の関係を見せるために体系的な方法で組織化されたクラスの集合;分類法は語彙(そのクラスを表現するために使われる用語)と統語法(クラスを結合するためのルール)からなる。分類体系は統制された索引言語の一例である。 classification(1) the process of assigning objects to classes. 分類 対象物をクラスに割り当てるプロセス classification(2) the process of identifying classes and organizing them into a classification scheme. 分類法 クラスがidentifyc【→クラスを識別すること、そして】それらを分類表中に組織化するプロセス【→過程】 classification(3) the process of assigning a classmark or subject heading from a particular scheme to a given document. 分類付与[分類作業] 任意の文献に対し、ある特定の体系から分類記号や件名【→主題】標目を割り当てるプロセス classificationist a person who designs or builds classification schemes. 分類製作者(BC2-8) 分類体系をデザインし、つくりあげる、そういう人。 classified catalogue a catalogue in which the entries are arranged using the classmarks of the items. In broad terms it replicates the shelf order of a collection. It will integrate into a single sequence material shelved or filed separately, such as journals or non-book items. 分類目録 その中で見出しが資料の分類記号を使って配列された、そういう目録。広義には、蔵書の書架順の複製品である。それは雑誌や非図書資料のような分けて配架したりファイルされている【資料を、単一の順序に統合するだろう】線形配列の資料materialに一本化されるだろう。 classifier a person engaged in the operation of classifying documents. 分類作業者 文献を分類する操作に従事する人 classmark a notational code representing the subject of a document, and used to place it in a sequence on the shelves or in a classified file. The classmark may be part of a book number. 分類記号 文献の主題を表現し、書架上や分類順ファイル中でひとつながりに、その文献を置くために使われる、表記記号体系notational code [記号]【→記号の規程】。分類記号は図書記号の一部分でもありうる。 code:規程 close classification the assignment of classmarks that exactly match the subjects of documents; precise or specific classification. 精密分類法(BC2-8) 正確に文献の主題と一致する分類記号の割り当て;すなわち精密な分類、または詳細な分類 closed access a system where the items making up the collection are stored separately from the areas used by readers, and must be fetched by staff. 閉架 蔵書を構成する資料が読者によって使われるエリアとは離れて収蔵されていて、スタッフに取って来てもらわなければならない、そういうシステム。 co-ordinate classes classes of equal status in a hierarchy, so that neither is subordinate or superordinate to the other. See subordination, superordination. 等位クラス【同格関係のクラス】 階層的に提示される等しいクラスで、ほかに対しても下位でも上位でもない。従属、上位を見なさい。 collocation the association or bringing together in the classification sequence of related classes or items. 並置 一連の関連あるクラスや資料を分類表中での結びつけthe associationまたはくっつけること Colon Classification the only complete example of a fully faceted classification. A complex scheme, and one never popular outside its native India, it serves to illustrate the classification theory developed by its creator S. R. Ranganathan, one of the great theorists and innovators of librarianship. コロン分類法 完全にファセット化された分類法の唯一完成した例。複雑な体系で、それが生まれたインドの外では決してポピュラーでない体系、創作者S.R.Ranganathan、図書館員の地位にあってof librarianshipもっとも偉大な理論家であり、先駆者のひとりであるが、彼によって開発された分類理論を説明するために役立つ。 common subdivisions commonly occurring concepts in a classification scheme (such as place, time and form) which are usually provided for by generally applicable auxiliary schedules or tables. 共通下位区分 通常、一般に適用できる補助表や補助テーブルによって提供される、ある分類体系の中で、共通に起こる概念(場所、時間、形式のような)。 compound subject a subject in which two or more constituent simple concepts are combined, e.g. economic history or brain surgery . 複合主題 その中で二つ以上の構成要素となっている単純な概念が結合されている、そういう主題、例、経済史や脳外科 concept analysis the process of identifying the constituent parts in the subject of a document as a preliminary to assigning a classmark or subject heading. 概念分析 分類記号や件名標目を割り当てる準備としてas、ある文献の主題の中の構成要素が何かを見極めるプロセス consensus the generally held view about the content of, and relationships between, classes. Consensus is important in determining the order and arrangement of classifications. See also educational consensus. コンセンサス クラスの中身やクラス間の関係について一般に抱かれている観点。コンセンサスは分類表の順番や配置を決定する際に重要である。教育的コンセンサスをもみよ。 content analysis the process of determining the subject of a document. See also document description. 内容分析 文献の主題を決定するプロセス。文献記述をもみよ。 controlled indexing language a system used for classifying or indexing documents which uses a more limited set of terms than are found in natural language. A controlled indexing language consists of a vocabulary (the terms used for indexing) and syntax (the rules for combination of terms). Classifications, thesauri, and subject heading lists are all examples of controlled indexing languages. 統制された索引言語 自然言語で発見されるよりもより限定された用語のセットを使う、文献を分類や索引付けのために使われるシステム。統制された索引言語は、語彙(索引付けに使われる用語)とシンタクス(用語を結合するためのルール)から成る。分類表,シソーラスそして件名標目リストはすべて統制された索引言語の例である。 cross-classification a situation that arises where, because of lack of logical structure in the classification, or failure to provide clear rules for combination, it is not obvious where to place a document with compound subject content. As a result documents with the same subject content may be cross-classified, or located in different places in the classification. Where this is likely to occur, cataloguers must decide on their preferred location, and/or establish their own local rules for citation order. 交差分類 以下の場合に起こる状態、分類表の論理的構造の欠如、または結合のための明確なルールを提供するのに失敗したために、複合主題の内容を持つある文献をどこにおくべきか不明確になった、そういう状態。結果として、同じ主題内容を持った文献が交差分類を起こすまたは、分類法の中で異なる場所に位置づけられることが起こりうる。これが起こりやすいところでは、目録担当者はより優先すべき場所を決定しなければならない、または引用順序のための彼ら自身のローカルルールを設けなければならない。【 目録担当者はより優先すべき場所を決定し、また引用順序のための彼ら自身のローカルルールを設けなければならない、あるいはそのどちらか一方である。】 ※and/orの訳 cross-disciplinary refers to a field of study where the primary focus is on a phenomenon or entity; rather than a traditional discipline, and where the phenomenon or entity is studied from the point of view of a variety of disciplines. Typical examples are women s studies, mediaeval studies and regional studies. 学際的な 第一の焦点が伝統的な学問分野というよりはむしろ、事象や実体に置かれている研究分野や、事象や実体が異なる学問分野の観点から研究されている研究分野をさす (refers to主語は見出しのcross-disciplinary?) 【→最初の焦点が現象や実体に置かれている研究領域への参照;つまり、伝統的な学問分野ではなく、そしてそこは現象や実体がさまざまな学問分野の観点という視点から研究されている場所である。典型的な例として、女性研究、中世研究、地域研究などがある。】 DDC see Dewey Decimal Classification. デューイ十進分類法をみよ decimal notation a numerical notation which files as if the classmarks are decimal subdivisions, i.e. following a real or imagined decimal point, as in the sequence 21, 212, 223, 26, 35, 354, 37. See also ordinal notation. 十進法【→十進記号法】 分類記号が十進法に細分しているかのように、言い換えると、実在のまたは想像上の小数点に続く、21,212,223,26,35,354,37という順序のように、整理保管している数字表記法。順序記号法(田窪182)ordinal notationをもみよ。 decreasing concreteness the principle which Ranganathan used to determine the citation order of his fundamental categories. 具体性の減少【→具体性減少の原理】 ランガナータンが自分の基本カテゴリを並べる順序を決定するために使った原則 Dewey Decimal Classification the first of the well-known library classification schemes, originally published in the USA in l876. In the UK it is used in almost all public libraries, and is increasingly favoured in academic libraries because of its familiarity to users and its excellent support services. 0riginally an enumerative classification, DDC has incorporated many analytico-synthetic features over the years. デューイ十進分類法 一番良く知られた図書館分類体系【良く知られた図書館分類体系の最初のもの】、1876年にUSAで最初に出版された。英国では、ほぼすべての公共図書館で使われているし、学術図書館でも、利用者になじみが深いこと、またサポートサービスが卓越していることから、ますます好まれている。当初は列挙型分類法だが、年月を重ねるにつれ、多くの分析合成的な特徴を取り入れてきている。 dictionary catalogue a catalogue in which authors, titles and subjects are all inter-filed in a single sequence. 辞書体目録 著者、書名、件名すべてが一繋がりでファイルされている目録 all inter-filed? discipline a field of study or subject domain. See also fundamental disciplines. 学問分野 研究分野または主題領域。基本的な学問分野をもみよ。 鈴木 distributed relatives when a citation order is applied to compound subjects, the aspects of the subject that are not cited first and thus become scattered throughout the sequence. For example, if a collection of literature is organized first by language, literary forms such as poetry or drama will be separated from each other within the different language groups. These are known as distributed relatives. 分散関係 引用順序が複合主題に適用される際に、最初には引用されないその主題の観点は、最初に引用されないがために、その体系全般にわたり点在することになる。たとえば、文学の蔵書が最初に言語により組織化されるとすれば、詩や戯曲といった文学形式は、異なる言語の集合の中にそれぞれ別々に切り離されることになる。このことは分散関係として知られている。 document description the process of deciding on the subject content of a document and creating a concise statement of that subject 文献の記述 ある文献の主題の内容を決定して、その主題の簡明な提示【陳述/説明】を作り上げるという過程。 ※たとえば、主題分析をして分類記号をつけたり件名を付与して見せることではないでしょうか。そうするとそれは陳述?説明? domain a field or area of study. 領域 研究の領域や範囲
https://w.atwiki.jp/daiseinew/pages/75.html
引退(またはそれに準ずるもの)生主一覧 ヌッキー ユーザー情報 自コミュ
https://w.atwiki.jp/elvis/pages/6842.html
Organic Micropollutants in the Aquatic Environment Kluwer Academic Publishers? A. Bjorseth? G. Angeletti? KluwerAcademicPublishers? A.Bjorseth? G.Angeletti? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Outdoors&Nature Substores-UnknownASINs-55? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Groundwater? Subjects-Engineering-General? Subjects-Outdoors&Nature-Environment-EnvironmentalScience Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Groundwater? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-EarthSciences-EnvironmentalScience? Subjects-Science-EarthSciences-EnvironmentalScience Polymers and Composites Recent Trends Proceedings of National Seminar South Asia Books? SouthAsiaBooks? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Science? Substores-UnknownASINs-53? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Elementary Engineering Fracture Mechanics Kluwer Academic Publishers? David Broek? KluwerAcademicPublishers? DavidBroek? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Combined Loadings in the Theory of Plasticity Sijthoff & Noordhoff Intl Pub? M. Zyczkowski? Sijthoff&NoordhoffIntlPub? M.Zyczkowski? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Aerospace-AdvancedMechanics? Subjects-Engineering-Civil-Mechanics? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Civil-Mechanics? Subjects-Professional&Technical-Engineering-Aerospace-AdvancedMechanics? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? Advances in Superconductivity Xi Proceedings of the 11th International Symposium on Superconductivity (Iss '98) November 16-19, 1998, Fukuoka Springer-Verlag? N. Koshizuka? S. Taijima? Springer-Verlag? N.Koshizuka? S.Taijima? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Science? Subjects-Engineering-Electrical&Electronics-Electronics-General? Subjects-Engineering-Electrical&Electronics-General? Subjects-Engineering-Electrical&Electronics-Semiconductors? Subjects-Engineering-Electrical&Electronics-Superconductivity? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Electronics-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Semiconductors? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Superconductivity? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Science-General Subjects-Science-Physics-General? Subjects-Science-Physics-Solid-StatePhysics-Superconductivity? Subjects-Science-Physics-Electromagnetism-General? Subjects-Science-Physics-Engineering? Hydrogeology and Management of Hazardous Waste by Deepwell Disposal A.A. Balkema? Philip E. Lamoreaux? Jsroslav Vrba? A.A.Balkema? PhilipE.Lamoreaux? JsroslavVrba? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Science? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Hydrology? Subjects-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Hydrology? Subjects-Professional&Technical-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Professional&Technical-Engineering-General Subjects-Science-EarthSciences-Geology-Hydrology? Statistical Analysis and Control of Dynamic Systems (Mathematics and Its Applications) Kluwer Academic Pub? Hirotugu Akaike? Toichiro Nakagawa? KluwerAcademicPub? HirotuguAkaike? ToichiroNakagawa? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Engineering-Electrical&Electronics-General? Subjects-Engineering-General? Subjects-Engineering-Mechanical-Robotics? Subjects-Nonfiction-ForeignLanguageNonfiction-Japanese? Subjects-Professional&Technical-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Professional&Technical-Engineering-Electrical&Electronics-General? Subjects-Professional&Technical-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Mathematics-Applied-General? Subjects-Professional&Technical-ProfessionalScience-Mathematics-Applied-Statistics? Subjects-Professional&Technical-ProfessionalScience-Mathematics-Applied-StochasticModeling? Subjects-Science-General Subjects-Science-Mathematics-Applied-General? Subjects-Science-Mathematics-Applied-Probability&Statistics? Fatigue Failure of Metals (Fatigue and Fracture) Kluwer Academic Pub? S. Kocanda? KluwerAcademicPub? S.Kocanda? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? Integrated Lake-Watershed Acidification D Reidel Pub Co? Terry R. Broderick? Iiwas Project? Project Ilwas Project? DReidelPubCo? TerryR.Broderick? IiwasProject? ProjectIlwasProject? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Outdoors&Nature Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Pollution-Water? Subjects-Engineering-General? Subjects-Outdoors&Nature-Environment-EnvironmentalScience Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Pollution-Water? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-EarthSciences-EnvironmentalScience? Subjects-Science-EarthSciences-EnvironmentalScience Subjects-Science-General Human Biomechanics and Injury Prevention Springer-Verlag? J. Kajzer? E. Tanaka? H. Yamada? Springer-Verlag? J.Kajzer? E.Tanaka? H.Yamada? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Medicine? ユーズドブック(洋書)-Science? Subjects-Engineering-Bioengineering-Biomechanics? Subjects-Engineering-Bioengineering-Biotechnology? Subjects-Engineering-General? Subjects-Medicine-BasicScience-Physiology? Subjects-Medicine-Specialties-PhysicalMedicine&Rehabilitation? Subjects-Professional&Technical-Engineering-Bioengineering-Biomechanics? Subjects-Professional&Technical-Engineering-Bioengineering-Biotechnology? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-BasicSciences-Physiology? Subjects-Professional&Technical-ProfessionalScience-BiologicalSciences-Biotechnology? Subjects-Science-BiologicalSciences-Biotechnology? Subjects-Science-BiologicalSciences-Anatomy? Subjects-Science-BiologicalSciences-General? Subjects-Science-Medicine-BasicScience-Physiology? Subjects-Science-Medicine-Specialties-PhysicalMedicine&Rehabilitation? Elastostatics and Kinetics of Anisotropic and Heterogeneous Shell-Type Structures (Mechanics of Elastic Stability) Springer? L. Librescu? Liviu Librescu? Springer? L.Librescu? LiviuLibrescu? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-Physics-Mechanics? Advanced Gasification Methanol Production from Wood--Results of the Eec Pilot Programme (Energy Biomass V 8) D Reidel Pub Co? A. A. C. M. Beenackers? DReidelPubCo? A.A.C.M.Beenackers? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Chemical-General? Subjects-Engineering-Chemical-Petrochemical? Subjects-Engineering-Energy-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Chemical-General? Subjects-Professional&Technical-Engineering-Chemical-Petrochemical? Subjects-Professional&Technical-Engineering-Energy-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-Chemistry-ChemicalEngineering? Subjects-Science-General Tratado Broto De La Construccion Patologias De Los Materiales (Artes Visuales) Links Internacional? Carles Broto? LinksInternacional? CarlesBroto? ジャンル別? ユーズドブック(洋書)-Arts&Photography? ユーズドブック(洋書)-Engineering Subjects-Arts&Photography-Architecture-Criticism? Subjects-Arts&Photography-Architecture-General? Subjects-Engineering-General? Subjects-Nonfiction-ForeignLanguageNonfiction-Spanish? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Architecture-Criticism? Subjects-Professional&Technical-Architecture-General? Dictionary for Automotive Engineering English - French - German Dictionnaire Du Genie Automobile Anglais - Francais - Allemand Worterbuch Fur K G Saur? Jean De Coster? KGSaur? JeanDeCoster? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Reference? Subjects-Engineering-Automotive-General? Subjects-Engineering-General? Subjects-Nonfiction-Education-Reference-Dictionaries&Thesauri-English(All)? Subjects-Nonfiction-Education-Reference-Dictionaries&Thesauri-English(British)? Subjects-Nonfiction-Education-Reference-Dictionaries&Thesauri-ForeignLanguage-Polyglot? Subjects-Professional&Technical-Engineering-Automotive-General? Subjects-Professional&Technical-Engineering-General Subjects-Reference-Dictionaries&Thesauruses-English(All)? Subjects-Reference-Dictionaries&Thesauruses-English(British)? Subjects-Reference-Dictionaries&Thesauruses-ForeignLanguage-Polyglot? Subjects-Reference-General? Elementary Engineering Fracture Mechanics Kluwer Academic Pub? David Broek? KluwerAcademicPub? DavidBroek? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? Tropical Hardwood Utilization Practice and Prospects (Current Topics in Veterinary Medicine and Animal Science) Kluwer Academic Pub? R. A. A. Oldeman? KluwerAcademicPub? R.A.A.Oldeman? ジャンル別? ユーズドブック(洋書)-Business&Investing? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Outdoors&Nature ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Business&Investing-Industries&Professions-RealEstate-General? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-General? Subjects-Outdoors&Nature-Conservation-Forests? Subjects-Outdoors&Nature-NaturalResources-General? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Accounting&Finance-Industries&Professions-RealEstate-General? Subjects-Professional&Technical-ProfessionalScience-AgriculturalSciences-Forestry-Products? Subjects-Science-Nature&Ecology-NaturalResources-General? The Application of Mathematics in Industry Kluwer Academic Print on Demand? R. S. Andersson? KluwerAcademicPrintonDemand? R.S.Andersson? ジャンル別? ユーズドブック(洋書)-Computers&Internet? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Computers&Internet-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-Mathematics-PureMathematics-NumberTheory? Subjects-Science-Mathematics-Applied-Engineering-General? Fracture of Composite Materials Springer? G. C. Sih? V. P. Tamuzs? E. Czoboly? Springer? G.C.Sih? V.P.Tamuzs? E.Czoboly? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Subjects-Engineering-General? Subjects-Engineering-Mechanical-FractureMechanics? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-Physics-Mechanics? Handbook of Electrical Power Distribution Universities Press,India? G. Ramamurthy? UniversitiesPress,India? G.Ramamurthy? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Proceedings of the Iutam Symposium on Finete Elasticity Kluwer Academic Pub? David E. Carlson? Richard T. Shield? KluwerAcademicPub? DavidE.Carlson? RichardT.Shield? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-General Subjects-Science-Physics-Mechanics? Subjects-Science-Physics-Nanostructures? Introduction to Strength of Materials Sangam Books Ltd? D.S. Rao? SangamBooksLtd? D.S.Rao? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-54? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Solutions Manual for Heat Transfer Sangam Books Ltd? Y.V.C. Rao? SangamBooksLtd? Y.V.C.Rao? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-54? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Finite Elements Analysis Universities Press,India? H. Lakshmininarayana? UniversitiesPress,India? H.Lakshmininarayana? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Science? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-Mathematics-Applied-General? Frontiers in Materials Science Universities Press,India? B. Raj? K.B. Rao? UniversitiesPress,India? B.Raj? K.B.Rao? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Science? Subjects-Engineering-General? Subjects-Nonfiction-Philosophy-Science? Subjects-Professional&Technical-Engineering-General Subjects-Science-History&Philosophy-General? Mechanics of Viscoelastic Fluids Kluwer Academic Print on Demand? Stefan Zahorski? KluwerAcademicPrintonDemand? StefanZahorski? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Dynamics-FluidDynamics? Subjects-Science-Physics-Mechanics? Fracture of Composite Material Kluwer Academic Pub? G. C. Sih? KluwerAcademicPub? G.C.Sih? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-Materials-FactureMechanics? Subjects-Engineering-Materials-Composites? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-FactureMechanics? Subjects-Professional&Technical-Engineering-Materials-Composites? Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? Synthesis of Subsonic Airplane Design Kluwer Academic Pub? Egbert Torenbeek? KluwerAcademicPub? EgbertTorenbeek? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Subjects-Engineering-Aerospace? Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-Aviation-General? Subjects-Professional&Technical-Engineering-Aerospace? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Subjects-Science-Astronomy-Aeronautics&Astronautics? Viscoplasticity (Mechanics of Plastic Solids) Kluwer Academic Print on Demand? N. Cristescu? KluwerAcademicPrintonDemand? N.Cristescu? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-General Subjects-Science-Physics-Mechanics? Subjects-Science-Physics-Nanostructures? Operation of Complex Water Systems Operation, Planning, and Analysis of Already Developed Water Systems (NATO Advanced Study Institutes Series) Kluwer Academic Pub? Italy) NATO Advanced Study Institute on Operation of Complex Water Systems (1981 Erice? Giuseppe Rossi? Emanuele Guggino? David W. Hendricks? North Atlantic Treaty Organization? KluwerAcademicPub? Italy)NATOAdvancedStudyInstituteon OperationofComplexWaterSystems (1981 Erice? GiuseppeRossi? EmanueleGuggino? DavidW.Hendricks? NorthAtlanticTreatyOrganization? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Hydrology? Subjects-Engineering-Civil-Environmental-WaterQuality&Treatment? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Hydrology? Subjects-Professional&Technical-Engineering-Civil-Environmental-WaterQuality&Treatment? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-EarthSciences-Geology? Subjects-Science-EarthSciences-Geology-General? Subjects-Science-EarthSciences-Geology-Hydrology? Subjects-Science-General Defects, Fracture and Fatigue Kluwer Academic Pub? G. C. Sih? James W. Provan? KluwerAcademicPub? G.C.Sih? JamesW.Provan? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-Materials-FactureMechanics? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-FactureMechanics? Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-Physics-Mechanics? Subjects-Science-Physics-Nanostructures? Handbook of Electronic Insulating Materials Jaico Publishing House? JaicoPublishingHouse? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Mechanical Behavior of Anisotropic Solids Proceedings of the Euromech Colloquium 115, Villard-De-Lans, June 19-22, 1979 (Colloques Internationaux Du Centre National De La Recherche Scientifique, No. 295) Kluwer Academic Pub? France) Euromech Colloquium 1979 (Villard-De-Lans? Jean-Paul Boehler? KluwerAcademicPub? France)EuromechColloquium1979(Villard-De-Lans? Jean-PaulBoehler? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-General Subjects-Science-Physics-Mechanics? Subjects-Science-Physics-Nanostructures? Advances in Two-Phase Flow and Heat Transfer Fundamentals and Applications (NATO Science Series E) Kluwer Academic Print on Demand? Germany) NATO Advanced Research Workshop on the Advances in Two-Phase Flow and Heat Transfer (1982 Spitzingsee? S. Kakac? M. Ishii? North Atlantic Treaty Organization. Scientific Affairs Division? KluwerAcademicPrintonDemand? Germany)NATOAdvancedResearchWorkshopontheAdvancesinTwo-PhaseFlowandHeatTransfer(1982 Spitzingsee? S.Kakac? M.Ishii? NorthAtlanticTreatyOrganization.ScientificAffairsDivision? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Subjects-Engineering-Aerospace-HeatTransfer? Subjects-Engineering-Chemical-Materials? Subjects-Engineering-Energy-HeatEngineering&Transfer? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-Mechanical-FluidMechanics? Subjects-Professional&Technical-Engineering-Chemical-Materials? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Aerospace-HeatTransfer? Subjects-Professional&Technical-Engineering-Mechanical-FluidMechanics? Subjects-Professional&Technical-Engineering-Energy-HeatEngineering&Transfer? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Chemistry-Physical&Theoretical? Subjects-Science-Chemistry-Physical&Theoretical-General? Subjects-Science-Chemistry-Physical&Theoretical-PhysicalChemistry? Subjects-Science-General Subjects-Science-Physics-FluidMechanics? Reliability Theory and Its Applications in Structural and Soil Mechanics (NATO Science Series E) Kluwer Academic Print on Demand? Denmark) NATO Advanced Study Institute on Reliability Theory and its Application in Structural and Soil Mechanics (1982 Bornholms amt? Palle Thoft-Christensen? North Atlantic Treaty Organization. Scientific Affairs Division? Christensen Thoft? KluwerAcademicPrintonDemand? Denmark)NATOAdvancedStudyInstituteonReliabilityTheoryanditsApplicationinStructuralandSoilMechanics(1982 Bornholmsamt? PalleThoft-Christensen? NorthAtlanticTreatyOrganization.ScientificAffairsDivision? ChristensenThoft? ジャンル別? ユーズドブック(洋書)-Business&Investing? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Subjects-Business&Investing-Management&Leadership-RiskAssessment? Subjects-Engineering-Civil-Foundations&SoilMechanics? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-QualityControl? Subjects-Professional&Technical-Engineering-Civil-Foundations&SoilMechanics? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-General? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-QualityControl? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-AgriculturalSciences-SoilScience? Subjects-Professional&Technical-BusinessManagement-Management&Leadership-RiskAssessment? Subjects-Science-General Subjects-Science-AgriculturalSciences-SoilScience? Fracture Mechanics Methodology Evaluation of Structural Components Integrity (Engineering Application of Fracture Mechanics) Kluwer Academic Print on Demand? G. C. Sih? Luciano De Oliveira Faria? KluwerAcademicPrintonDemand? G.C.Sih? LucianoDeOliveiraFaria? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-Materials-FactureMechanics? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-FactureMechanics? Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? Phase Evolution Diagrams Universities Press,India? Lakshmi M. Vijaya? UniversitiesPress,India? LakshmiM.Vijaya? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Internal Encyclopaedia of Energy Cosmo (Publications,India)? Frank Marshal? Cosmo(Publications,India)? FrankMarshal? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Reference? Substores-UnknownASINs-67? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Reference? Application of Fracture Mechanics to Materials and Structures Proceedings of the Intl Conf on Application of Fracture Mechanics, Freiburg, W German Kluwer Academic Print on Demand? International Conference on Applications of Fracture Mechanics? KluwerAcademicPrintonDemand? InternationalConferenceonApplicationsofFractureMechanics? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-Materials-FactureMechanics? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-FactureMechanics? Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? An Alien in Bollywood UBS Publishers Distributors? B.K. Karanjia? B.S. Sood? UBSPublishersDistributors? B.K.Karanjia? B.S.Sood? ジャンル別? ユーズドブック(洋書)-Biographies&Memoirs? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Entertainment? Subjects-Biographies&Memoirs-Arts&Literature? Subjects-Engineering-General? Subjects-Entertainment-Movies-General? Subjects-Professional&Technical-Engineering-General Advances in Carbon Materials Shipra Publications,India? O.P. Bahl? ShipraPublications,India? O.P.Bahl? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Science? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-Chemistry? Precision Engineering (Copen 2000) Narosa Pub House? K. Narayanasamy? Y. G. Srinivasa? NarosaPubHouse? K.Narayanasamy? Y.G.Srinivasa? ジャンル別? ユーズドブック(洋書)-Computers&Internet? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Science? Substores-UnknownASINs-54? Subjects-Computers&Internet-General? Subjects-Engineering-Aerospace-AdvancedMechanics? Subjects-Engineering-Civil-Mechanics? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Civil-Mechanics? Subjects-Professional&Technical-Engineering-Aerospace-AdvancedMechanics? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Fracture Mechanics of Concrete Material Characterization and Testing (Engineering Application of Fracture Mechanics, Vol 3) Kluwer Academic Pub? A. Carpinteri? A. R. Ingraffea? KluwerAcademicPub? A.Carpinteri? A.R.Ingraffea? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-Materials-FactureMechanics? Subjects-Engineering-Materials-Concrete? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-FactureMechanics? Subjects-Professional&Technical-Engineering-Materials-Concrete? Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? Fracture Mechanics of Concrete Structural Application and Numerical Calculation (Advances in Agricultural Biotechnology) Kluwer Academic Pub? G. C. Sih? KluwerAcademicPub? G.C.Sih? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? Fundamentals of Transport Phenomena in Porous Media (NATO Science Series E Applied Sciences) Kluwer Academic Publishers? Jacob Bear? M.Yavuz Corapcioglu? KluwerAcademicPublishers? JacobBear? M.YavuzCorapcioglu? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Subjects-Engineering-Chemical-UnitOperations&TransportPhenomena? Subjects-Engineering-Civil-General? Subjects-Engineering-Civil-Surveying&Photogrammetry? Subjects-Engineering-Civil-Environmental-Hydraulics? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Chemical-UnitOperations&TransportPhenomena? Subjects-Professional&Technical-Engineering-Civil-General? Subjects-Professional&Technical-Engineering-Civil-Surveying&Photogrammetry? Subjects-Professional&Technical-Engineering-Civil-Environmental-Hydraulics? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-EarthSciences-Geology? Subjects-Science-EarthSciences-Geology-General? Isdn D-channel in Dialogue Narosa Pub House? J. Goeller? NarosaPubHouse? J.Goeller? ジャンル別? ユーズドブック(洋書)-Computers&Internet? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Science? Subjects-Computers&Internet-WebDevelopment-InternetCommerce-Intranets? Subjects-Computers&Internet-WebDevelopment-Platforms&Administration-ISDN? Subjects-Computers&Internet-General? Subjects-Engineering-General? Subjects-Engineering-Telecommunications-Radio&Wireless? Subjects-Professional&Technical-Engineering-Telecommunications-Radio&Wireless? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Linear Vibrations A Theoretical Treatment of Multi-Degree-Of-Freedom Vibrating Systems (Mechanics, Dynamical Systems, Vol 7) Kluwer Academic Pub? P. C. Muller? W. O. Schiehlen? KluwerAcademicPub? P.C.Muller? W.O.Schiehlen? ジャンル別? Substores-UnknownASINs-55? Subjects-Engineering-Aerospace-AdvancedMechanics? Subjects-Engineering-Civil-Mechanics? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Engineering-Mechanical-Vibration? Subjects-Nonfiction-ForeignLanguageNonfiction-German? Subjects-Professional&Technical-Engineering-Civil-Mechanics? Subjects-Professional&Technical-Engineering-Aerospace-AdvancedMechanics? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-Vibration? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Dynamics-General? Subjects-Science-Physics-Mechanics? Problems of Mixed Mode Crack Propagation (Engineering Applications of Fracture Mechanics) Kluwer Academic Print on Demand? E. E. Gdoutos? KluwerAcademicPrintonDemand? E.E.Gdoutos? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-Materials-FactureMechanics? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-FactureMechanics? Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? Modelling Problems in Crack Tip Mechanics Proceedings Kluwer Academic Pub? J. T. Pindera? KluwerAcademicPub? J.T.Pindera? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Substores-UnknownASINs-55? Subjects-Engineering-General? Subjects-Engineering-Materials-FactureMechanics? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Mechanical-FractureMechanics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-FactureMechanics? Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? Theoretical Foundation for Large-Scale Computations for Nonlinear Material Behavior Proceedings of the Workshop on the Theoretical Foundation for Large-Scale Computations of Nonlinear Material Behavior, Evanston, Illinois, October 24 (Mechanics of Elastic Inelastic Solids, 6) Kluwer Academic Print on Demand? Workshop on the Theoretical Foundation for Large-Scale Computations of? S. Nemat-Nasser? Robert J. Asaro? Gilbert A. Hegemier? National Science Foundation (U. S.)? United States Defense Advanced Research Projects Agency? KluwerAcademicPrintonDemand? WorkshopontheTheoreticalFoundationforLarge-ScaleComputationsof? S.Nemat-Nasser? RobertJ.Asaro? GilbertA.Hegemier? NationalScienceFoundation(U.S.)? UnitedStatesDefenseAdvancedResearchProjectsAgency? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Professional&Technical? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Mathematics-Applied-General? Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-General Subjects-Science-Mathematics-Applied-General? Subjects-Science-Physics-Mechanics? Subjects-Science-Physics-Nanostructures? Advances in Carbon and Carbon Materials Shipra Publications,India? O.P. Bahl? ShipraPublications,India? O.P.Bahl? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Science? Subjects-Engineering-Chemical-Materials? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Chemical-Materials? Subjects-Professional&Technical-Engineering-General Subjects-Science-Chemistry? Subjects-Professional&Technical-Engineering-General 洋書
https://w.atwiki.jp/elvis/pages/6865.html
Migration Phenomena of Radionuclides into the Geosphere A Critical Review of Available Information (Radioactive Waste Management, V. 5) Harwood Academic Pub? Bror Skytte Jensen? HarwoodAcademicPub? BrorSkytteJensen? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Outdoors&Nature ユーズドブック(洋書)-Professional&Technical? ユーズドブック(洋書)-Science? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Groundwater? Subjects-Engineering-Civil-Environmental-Pollution-Water? Subjects-Engineering-General? Subjects-Engineering-Nuclear? Subjects-Outdoors&Nature-Environment-EnvironmentalScience Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Groundwater? Subjects-Professional&Technical-Engineering-Civil-Environmental-Pollution-Water? Subjects-Professional&Technical-Engineering-Nuclear? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-EarthSciences-EnvironmentalScience? Subjects-Science-EarthSciences-EnvironmentalScience Subjects-Science-General Subjects-Science-Physics-NuclearPhysics-Radioactivity? Gm Chevrolet Cavalier and Pontiac Sunfire Automotive Repair Manual (Haynes Automotive Repair Manual Series) Haynes Pubns? Robert Maddox? Mike Stubblefield? John Harold Haynes? HaynesPubns? RobertMaddox? MikeStubblefield? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Chevrolet Lumina Monte Carlo Automotive Repair Manual (Haynes Automotive Repair Manual Series) Haynes Pubns? Jeff Kibler? Jay Storer? John Harold Haynes? HaynesPubns? JeffKibler? JayStorer? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Haynes Suspension, Steering Driveline Manual (Techbook) Haynes Pubns? Jeff Killingsworth? Eric Godfrey? John Harold Haynes? HaynesPubns? JeffKillingsworth? EricGodfrey? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Nissan Sentra 200Sx Automotive Repair Manual Models Covered All Nissan Sentra and 200Sx Models 1995 Through 1998 (Haynes Automotive Repair Manual Series) Haynes Pubns? Larry Warren? Tim Imhoff? John Harold Haynes? HaynesPubns? LarryWarren? TimImhoff? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Dodge Caravan, Plymouth Voyager Chrysler Town Country Automotive Repail Manual 1996 Through 1998 (Haynes Automotive Repair Manual Series) Haynes Pubns? L. Alan Ledoux? John Harold Haynes? HaynesPubns? L.AlanLedoux? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Chrysler Cirrus, Dodge Stratus, Plymouth Breeze Automotive Repair Manual Models Covered Chrysler Cirrus, Dodge Stratus and Plymouth Breeze 1995 Through 1998 (Haynes Automotive Repair Manual Series) Haynes Pubns? Marc M. Scribner? John H. Haynes? HaynesPubns? MarcM.Scribner? JohnH.Haynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Toyota Tacoma, 4Runner T100 Automotive Repair Manual Models Covered 2Wd and 4Wd Toyota Tacoma (1995 Thru 1998), 4Runner (1996 Thru 1998) and T100 (1993 Thru 1998) (Haynes Automotive Repair Manual Series) Haynes Pubns? Robert Maddox? Mike Stubblefield? John Harold Haynes? HaynesPubns? RobertMaddox? MikeStubblefield? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Ford Windstar Automotive Repair Manual Models Covered All Ford Windstar Models 1995 Through 1998 (Hayne's Automotive Repair Manual) Haynes Pubns? Jay Storer? Jeff Kibler? John Harold Haynes? HaynesPubns? JayStorer? JeffKibler? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Harley-Davidson Big Twins (1970-97) Owners Workshop Manual (Haynes Owners Workshop Manuals) Haynes Publishing? Curt Choate? Tom Schauwecker? J.H. Haynes? HaynesPublishing? CurtChoate? TomSchauwecker? J.H.Haynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Automotive-Motorcycles-General? Subjects-Nonfiction-Automotive-Motorcycles-Repair&Performance? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Toyota Camry Automotive Repair Manual 1992 Through 1996 (Hayne's Automotive Repair Manual) Haynes Pubns? Robert Maddox? John Harold Haynes? HaynesPubns? RobertMaddox? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-General Ford Thunderbird Mercury Cougar Automotive Repair Manual Models Covered All Ford Thunderbird and Mercury Cougar Models 1989 Through 1997 (Haynes Automotive Repair Manual Series) Haynes Pubns? Ken Freund? John Harold Haynes? HaynesPubns? KenFreund? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Honda Vt600 and Vt750 Shadow V-Twins Owners Workshop Manual (Haynes Owners Workshop Manual Series) Haynes Pubns? Mike Stubblefield? John H. Haynes? John Harold Haynes? HaynesPubns? MikeStubblefield? JohnH.Haynes? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Motorcycles-General? Subjects-Nonfiction-Automotive-Motorcycles-Repair&Performance? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Haynes Yamaha Yfz350 Banshee Yfm350X Warrior Atvs Owners Workshop Manual (Haynes Owners Workshop Manual Series) Haynes Pubns? Mike Stubblefield? John Harold Haynes? HaynesPubns? MikeStubblefield? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Motorcycles-General? Subjects-Nonfiction-Automotive-Motorcycles-Repair&Performance? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Chrysler Lhs, Concorde New Yorker Dodge Intrepid Eagle Vision 1993 Thru 1997 All Models (Haynes Repair Manuals) Haynes Pubns? Mike Stubblefield? HaynesPubns? MikeStubblefield? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Yamaha Yfs200 Blaster Atv Owners Workshop Manual Models Covered Yfs200 Blaster, 1988 Through 1998 (Haynes Owners Workshop Manual Series) Haynes Pubns? Alan Ahlstrand? John Harold Haynes? HaynesPubns? AlanAhlstrand? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Motorcycles-General? Subjects-Nonfiction-Automotive-Motorcycles-Repair&Performance? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Jeep Cherokee, Wagoneer and Comanche (1984-1997) Automotive Repair Manual (Haynes Automotive Repair Manuals) Haynes Publishing? Bob Henderson? J.H. Haynes? HaynesPublishing? BobHenderson? J.H.Haynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-General Ford Ranger Mazda Pick-Ups Automotive Repair Manual 1993 Thru 1997 (Hayne's Automotive Repair Manual) Haynes Pubns? Eric Jorgensen? Alan Ahlstrand? John Harold Haynes? HaynesPubns? EricJorgensen? AlanAhlstrand? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Toyota Corolla and Geo Prizm Automotive Repair Manual (Haynes Repair Manuals) Haynes Pubns? Jay Storer? J.H. Haynes? HaynesPubns? JayStorer? J.H.Haynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Haynes Honda Accord 1994-97 All Models (Hayne's Automotive Repair Manual) Haynes Pubns? Jay Storer? HaynesPubns? JayStorer? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Haynes Ford Mustang 1994 Thru 1998 (Haynes Automotive Repair Manuals) Haynes Pubns? Haynes Editors? HaynesPubns? HaynesEditors? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Haynes Dodge Pickups Automotive Repair Manual All Dodge Full-Size Pick-Ups 1994 Through 1998 (Haynes Automotive Repair Manuals) Haynes Pubns? Mike Stubblefield? John H. Haynes? HaynesPubns? MikeStubblefield? JohnH.Haynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Subaru Legacy Automotive Repair Manual Models Covered All Legacy Models 1990 Through 1998 Includes Legacy Outback and Legacy Brighton (Hayne's Automotive Repair Manual) Haynes Pubns? Mike Stubblefield? Robert Maddox? John Harold Haynes? HaynesPubns? MikeStubblefield? RobertMaddox? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Honda Civic Acura Integra Automotive Repair Manual Models Covered Honda Civic-1996 Through 1998, Acura Integra-1994 Through 1998 (Haynes Automotive Repair Manual Series) Haynes Pubns? Larry Warren? Alan Ahlstrand? John H. Haynes? John Harold Haynes? HaynesPubns? LarryWarren? AlanAhlstrand? JohnH.Haynes? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Honda Accord Automotive Repair Manual All Honda Accord Models 1998 and 1999 (Haynes Automotive Repair Manual Series) Haynes Pubns? Jay Storer? Robert Maddox? John Harold Haynes? HaynesPubns? JayStorer? RobertMaddox? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Haynes Saturn 1991-1999 (Haynes Automotive Repair Manual Series) Haynes Pubns? Mark Ryan? HaynesPubns? MarkRyan? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General The Haynes Automotive Heating Air Conditioning Systems Manual The Haynes Repair Manual for Automotive Heating and Air Conditioning Systems (Haynes Automotive Repair Manual Series) Haynes Pubns? Mike Stubblefield? John Harold Haynes? HaynesPubns? MikeStubblefield? JohnHaroldHaynes? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General General Motors Automatic Transmission Overhaul Manual Models Covered, Thm200-4r, Thm350, Thm400 And Thm700-r4 - Rear W (Haynes Manuals) Haynes Pubns? Eric Godfrey? J.H. Haynes? HaynesPubns? EricGodfrey? J.H.Haynes? ジャンル別? Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General The Haynes Bicycle Book (Haynes Automotive Repair Manual Series) Haynes Pubns? Bob Henderson? HaynesPubns? BobHenderson? ジャンル別? ユーズドブック(洋書)-Engineering ユーズドブック(洋書)-Outdoors&Nature ユーズドブック(洋書)-Sports? Subjects-Engineering-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Outdoors&Nature-OutdoorRecreation-Cycling-BikeRepair? Subjects-Outdoors&Nature-OutdoorRecreation-Cycling-General? Subjects-Professional&Technical-Engineering-General Subjects-Sports-IndividualSports-Cycling-BikeRepair? Subjects-Sports-IndividualSports-Cycling-General? Subjects-Sports-General? Mazda 323 Protege Automotive Repair Manual (Hayne's Automotive Repair Manual) Haynes Pubns? Louis Ledoux? HaynesPubns? LouisLedoux? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Vw Passat Audi A4 1996-2001 (Chilton's Total Car Care Repair Manuals) Haynes Pubns? Eric Godfrey? North Haynes? Chilton HaynesPubns? EricGodfrey? NorthHaynes? Chilton ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Repair? Subjects-Professional&Technical-Engineering-General Chevrolet Silverado Gmc Sierra Repair Manual 1999-2001 (Hayne's Automotive Repair Manual) Haynes Pubns? Jeff Kibler? HaynesPubns? JeffKibler? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Chevrolet S-10 Blazer Gmc Sonoma Jimmy Oldsmobile Bravada Isuzu Hombre 1994-2001 Automotive Repair Manual (Hayne's Automotive Repair Manual) Haynes Pubns? Robert Maddox? HaynesPubns? RobertMaddox? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Nissan Altima 1993 (Hayne's Automotive Repair Manual) Haynes Pubns? Jeff Kibler? HaynesPubns? JeffKibler? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Nissan Maxima 1993 Thru 2001 (Hayne's Automotive Repair Manual) Haynes Pubns? Bob Henderson? HaynesPubns? BobHenderson? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Automotive? Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-Automotive? Subjects-Professional&Technical-Engineering-General Chrysler Lh-Series Automotive Repair Manual Models Covered Chrysler Lhs, Concorde, 300m and Dodge Intrepid 1998 Through 2001 (Hayne's Automotive Repair Manual) Haynes Pubns? Eric Godfrey? HaynesPubns? EricGodfrey? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Nonfiction-Transportation-General? Subjects-Nonfiction-Automotive-Trucks&Vans? Subjects-Nonfiction-Automotive-Repair-General? Subjects-Professional&Technical-Engineering-General Laser Optoacoustics Amer Inst of Physics? V. E. Gusev? A. A. Karabutov? Kevin Hendzel? AmerInstofPhysics? V.E.Gusev? A.A.Karabutov? KevinHendzel? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-36? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Chemistry-Analytic? Subjects-Science-Chemistry-Analytic? Subjects-Science-Chemistry-Spectroscopy-General? Subjects-Science-General Subjects-Science-Physics-General? Subjects-Science-Physics-Light-Lasers? Subjects-Science-Technology-General&Reference Photovoltaic Advanced Research Development Project Denver, Co 1992 (Aip Conference Proceedings) Amer Inst of Physics? Rommel Noufi? AmerInstofPhysics? RommelNoufi? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-36? Subjects-Engineering-Electrical&Electronics-Circuits? Subjects-Engineering-Electrical&Electronics-General? Subjects-Engineering-Energy-General? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Circuits? Subjects-Professional&Technical-Engineering-Electrical&Electronics-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Energy-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Professional&Technical-ProfessionalScience-Physics-Optics? Subjects-Science-General Subjects-Science-Physics-General? Subjects-Science-Physics-Optics? Subjects-Science-Physics-Applied? Aip Handbook of Modern Sensors Physics, Designs and Applications (Modern Instrumentation and Measurements in Physics Engineering) Amer Inst of Physics? Jacob Fraden? AmerInstofPhysics? JacobFraden? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-36? Subjects-Engineering-SpecialTopics-Measurement? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-SpecialTopics-Measurement? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Science-Chemistry-Physical&Theoretical-Electrochemistry? Subjects-Science-Experiments,Instruments&Measurement-ScientificInstruments? Subjects-Science-General Subjects-Science-Physics-General? Oceanography and Acoustics Prediction and Propagation Models (Aip Series in Modern Acoustics and Signal Processing) Amer Inst of Physics? Allan R. Robinson? Ding Lee? AmerInstofPhysics? AllanR.Robinson? DingLee? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Acoustics&Sound? Subjects-Professional&Technical-ProfessionalScience-Physics-NuclearPhysics? Subjects-Science-General Subjects-Science-Nature&Ecology-Oceans&Seas-Oceanography-General? Subjects-Science-Nature&Ecology-Oceans&Seas-Oceanography-Physical? Subjects-Science-Physics-Acoustics&Sound? Subjects-Science-Physics-General? Subjects-Science-Physics-NuclearPhysics-General? U.S.-Japan Workshop on Ion Temperature Gradient-Driven Turbulent Transport Austin, Tx 1993 (Aip Conference Proceedings) Amer Inst of Physics? W. Horton? A. Wootton? M. Wakatani? AmerInstofPhysics? W.Horton? A.Wootton? M.Wakatani? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-36? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Mechanics? Subjects-Science-Physics-Solid-StatePhysics-PlasmaPhysics? The Chaos Paradigm Developments and Applications in Engineering and Science Mystic, Ct 1993 (Aip Conference Proceedings) Amer Inst of Physics? Richard A. Katz? AmerInstofPhysics? RichardA.Katz? ジャンル別? ユーズドブック(洋書)-Computers&Internet? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-64? Subjects-Computers&Internet-ComputerScience-Circuitry-Communication&SignalProcessing? Subjects-Engineering-General? Subjects-Engineering-Telecommunications? Subjects-Professional&Technical-Engineering-Telecommunications? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Mathematics-Chaos&Systems? Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Science-General Subjects-Science-Mathematics-PureMathematics-Calculus? Subjects-Science-Physics-General? Turbulent Shear Layers in Supersonic Flow Amer Inst of Physics? Alexander J. Smits? Jean-Paul Dussauge? AmerInstofPhysics? AlexanderJ.Smits? Jean-PaulDussauge? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Aerospace-Aerodynamics? Subjects-Engineering-Aerospace-Applied? Subjects-Engineering-General? Subjects-Engineering-Mechanical-FluidMechanics? Subjects-Professional&Technical-Engineering-Aerospace-Aerodynamics? Subjects-Professional&Technical-Engineering-Aerospace-Applied? Subjects-Professional&Technical-Engineering-Mechanical-FluidMechanics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Science-General Subjects-Science-Physics-Acoustics&Sound? Subjects-Science-Physics-Dynamics-FluidDynamics? Subjects-Science-Physics-General? Subjects-Science-Physics-Mechanics? Subjects-Science-Physics-FluidMechanics? Subjects-Science-Astronomy-Aeronautics&Astronautics? Physical Properties of Polymers Handbook (Aip Series in Polymers and Complex Materials) Amer Inst of Physics? James E. Mark? AmerInstofPhysics? JamesE.Mark? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Chemical-PolymerChemistry? Subjects-Engineering-Chemical-Materials? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-MaterialsScience-PolymerScience? Subjects-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-Chemical-PolymerChemistry? Subjects-Professional&Technical-Engineering-Chemical-Materials? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-PolymerScience? Subjects-Professional&Technical-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-BasicSciences-Chemistry? Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Reference? Subjects-Science-Chemistry-General&Reference? Subjects-Science-Chemistry-Polymers&Macromolecules? Subjects-Science-General Subjects-Science-Physics-General? Subjects-Science-Physics-Solid-StatePhysics-CondensedMatter? A Critical Review of Space Nuclear Power and Propulsion 1984-1993 Amer Inst of Physics? Mohamed S. El-Genk? AmerInstofPhysics? MohamedS.El-Genk? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Aerospace? Subjects-Engineering-General? Subjects-Engineering-Nuclear? Subjects-Engineering-Energy-Nuclear? Subjects-Professional&Technical-Engineering-Aerospace? Subjects-Professional&Technical-Engineering-Nuclear? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Energy-Nuclear? Subjects-Professional&Technical-ProfessionalScience-Astronomy-Astrophysics&SpaceScience? Subjects-Professional&Technical-ProfessionalScience-Physics-NuclearPhysics? Subjects-Science-General Subjects-Science-Physics-NuclearPhysics-General? Subjects-Science-Physics-Astrophysics? Subjects-Science-Astronomy-Aeronautics&Astronautics? Handbook of Materials and Techniques for Vacuum Devices (American Vacuum Society Classics) Amer Inst of Physics? Walter H. Kohl? AmerInstofPhysics? WalterH.Kohl? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Chemical-Materials? Subjects-Engineering-Electrical&Electronics-Circuits? Subjects-Engineering-Electrical&Electronics-Electronics-Apparatus,Devices&Design? Subjects-Engineering-General? Subjects-Engineering-Materials-Metallurgy? Subjects-Engineering-MaterialsScience-Ceramics? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Chemical-Materials? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Circuits? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Electronics-Apparatus,Devices&Design? Subjects-Professional&Technical-Engineering-MaterialsScience-Ceramics? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Metallurgy? Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Science-Chemistry-Industrial&Technical? Subjects-Science-General Subjects-Science-Physics-General? Subjects-Science-Physics-Applied? Sixth Quantum 1/F Noise and Other Low Frequency Fluctuations in Electronic Devices Symposium (Aip Conference Proceedings, 371) Amer Inst of Physics? Peter W. Handel? Alma L. Chung? AmerInstofPhysics? PeterW.Handel? AlmaL.Chung? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-36? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-MechanicalPropertiesofSolids? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Science-General Subjects-Science-Physics-Acoustics&Sound? Subjects-Science-Physics-General? Subjects-Science-Physics-Solid-StatePhysics-CondensedMatter? Thirteenth International Conference on Thermoelectrics Proceedings of the Workshop, Kansas City, Mo, September 1994 (Aip Conference Proceedings, 316) Amer Inst of Physics? B. Mathiprakasam? Patrick Heenan? AmerInstofPhysics? B.Mathiprakasam? PatrickHeenan? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-36? Subjects-Engineering-Electrical&Electronics-Electronics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Electronics-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Professional&Technical-ProfessionalScience-Physics-Optics? Subjects-Science-General Subjects-Science-Physics-Dynamics-Thermodynamics? Subjects-Science-Physics-General? Subjects-Science-Physics-Optics? Subjects-Science-Physics-Electromagnetism-General? Research Trends in Fluid Dynamics Report from the United States National Committee on Theoretical and Applied Mechanics Amer Inst of Physics? John L. Lumley? L. Gary Leal? Andreas Acrivos? Sidney Leibovich? AmerInstofPhysics? JohnL.Lumley? L.GaryLeal? AndreasAcrivos? SidneyLeibovich? ジャンル別? ユーズドブック(洋書)-Engineering Subjects-Engineering-Aerospace-AdvancedMechanics? Subjects-Engineering-Civil-Mechanics? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Engineering-Mechanical-Hydraulics? Subjects-Engineering-Mechanical-FluidMechanics? Subjects-Professional&Technical-Engineering-Civil-Mechanics? Subjects-Professional&Technical-Engineering-Aerospace-AdvancedMechanics? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Mechanical-Hydraulics? Subjects-Professional&Technical-Engineering-Mechanical-FluidMechanics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Professional&Technical-ProfessionalScience-Physics-Mechanics? Subjects-Science-General Subjects-Science-Physics-Acoustics&Sound? Subjects-Science-Physics-Dynamics-FluidDynamics? Subjects-Science-Physics-General? Subjects-Science-Physics-Mechanics? Subjects-Science-Physics-FluidMechanics? Second Nrel Conference on Thermophotovoltaics (Aip Conference Proceedings, 358) Amer Inst of Physics? J. B. Benner? T. J. Coutts? David S. Ginley? AmerInstofPhysics? J.B.Benner? T.J.Coutts? DavidS.Ginley? ジャンル別? ユーズドブック(洋書)-Engineering Substores-UnknownASINs-64? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Energy? Subjects-Professional&Technical-ProfessionalScience-Physics-General? Subjects-Science-General Subjects-Science-Physics-General? Subjects-Science-Technology-RenewableEnergy? Subjects-Professional&Technical-Engineering-General 洋書
https://w.atwiki.jp/elvis/pages/7164.html
Instrumented Impact Testing of Plastics and Composite Materials A Symposium (Astm Special Technical Publication// Stp) Astm Intl? Sandra L. Kessler? AstmIntl? SandraL.Kessler? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Testing? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-MaterialsScience-PolymerScience? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-PolymerScience? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Testing? Laboratory Performance Tests for Automotive Gear Lubricants Intended for Api Gl-5 Service (Astm Special Technical Publication// Stp) Astm Intl? AstmIntl? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Mechanical-Tribology? Subjects-Professional&Technical-Engineering-Mechanical-Tribology? Subjects-Professional&Technical-Engineering-General Fractography of Modern Engineering Materials Composites and Metals (Astm Special Technical Publication// Stp) Astm Intl? John E. Masters? Joseph J. Au? AstmIntl? JohnE.Masters? JosephJ.Au? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-FactureMechanics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-FactureMechanics? Geotextile Testing and the Design Engineer A Symposium Sponsored by Astm Committee D-35 on Geotextiles, Geomembranes, and Related Products Los Ange (Astm Special Technical Publication// Stp) Astm Intl? Joseph E., Jr. Fluet? AstmIntl? JosephE.,Jr.Fluet? ジャンル別? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-IndustrialDesign? Subjects-Engineering-Materials-Testing? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-IndustrialDesign? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Testing? Substores-UnknownASINs-jp-unknown1? Sampling and Calibration for Atmospheric Measurements (Astm Special Technical Publication// Stp) Astm Intl? AstmIntl? ジャンル別? Subjects-Engineering-SpecialTopics-AppliedAtmosphericSciences? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Pollution-Air? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Pollution-Air? Subjects-Professional&Technical-Engineering-SpecialTopics-AppliedAtmosphericSciences? Subjects-Professional&Technical-Engineering-General Subjects-Science-EarthSciences-AtmosphericSciences-AtmosphericPhysics? Uniformity of Cement Strength (Astm Special Technical Publication// Stp) Astm Intl? Emery Farkas? Paul Klieger? AstmIntl? EmeryFarkas? PaulKlieger? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Testing? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Testing? Subjects-Science-General Radiation-Induced Changes in Microstructure 13th International Symposium, Part 1 (Astm Special Technical Publication// Stp) Astm Intl? F. A. Garner? N. H. Packean? A. S. Kumar? AstmIntl? F.A.Garner? N.H.Packean? A.S.Kumar? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-Chemical-StructuralProperties? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Chemical-StructuralProperties? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Influence of Radiation on Material Properties (Astm Special Technical Publication// Stp) Astm Intl? F. A. Garner? Charles H. Henager? N. Igata? AstmIntl? F.A.Garner? CharlesH.Henager? N.Igata? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-Chemical-StructuralProperties? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Chemical-StructuralProperties? Subjects-Professional&Technical-Engineering-General Degradation of Metals in the Atmosphere (Astm Special Technical Publication// Stp) Astm Intl? American Society for Testing and Materials? S. W. Dean? T. S. Lee? AstmIntl? AmericanSocietyforTestingandMaterials? S.W.Dean? T.S.Lee? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Testing Technology of Metal Matrix Composites (Astm Special Technical Publication// Stp) Astm Intl? Peter R. Digiovanni? Norman Ray Adsit? AstmIntl? PeterR.Digiovanni? NormanRayAdsit? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Testing? Subjects-Engineering-Materials-Composites? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Testing? Subjects-Professional&Technical-Engineering-Materials-Composites? Subjects-Science-General Ground-Water Contamination Field Methods A Symposium (Astm Special Technical Publication// Stp) Astm Intl? A. G. Collins? A. I. Johnson? AstmIntl? A.G.Collins? A.I.Johnson? ジャンル別? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Groundwater? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Groundwater? Subjects-Professional&Technical-Engineering-General Subjects-Science-General The Use of Synthetic Environments for Corrosion Testing (Astm Special Technical Publication// Stp) Astm Intl? P. E. Francis? T. S. Lee? AstmIntl? P.E.Francis? T.S.Lee? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Chemical and Biological Characterization of Municipal Sludges, Sediments, Dredge Spoils, and Drilling Muds (Astm Special Technical Publication// Stp) Astm Intl? J. J. N. Lichtenberg? AstmIntl? J.J.N.Lichtenberg? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-SewageDisposal&Treatment? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-SewageDisposal&Treatment? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Rapid Methods for Chemical Analysis of Hydraulic Cement (Astm Special Technical Publication// Stp) Astm Intl? Ronald F. Gebhardt? AstmIntl? RonaldF.Gebhardt? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-Chemical-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Chemical-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-Chemistry-ChemicalEngineering? Subjects-Science-General Vertebrate Pest Control and Management Materials (Astm Special Technical Publication// Stp) Astm Intl? Stephen A. Shumake? Roger W. Bullard? AstmIntl? StephenA.Shumake? RogerW.Bullard? ジャンル別? Subjects-Engineering-General? Subjects-Home&Garden-Gardening&Horticulture-General? Subjects-Medicine-VeterinaryMedicine-Parasitology? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-VeterinaryMedicine-Parasitology? Subjects-Science-General Subjects-Science-Medicine-VeterinaryMedicine-Parasitology? Effect of Steel Manufacturing Processes on the Quality of Bearing Steels (Astm Special Technical Publication// Stp) Astm Intl? Joseph J. C. Hoo? AstmIntl? JosephJ.C.Hoo? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Functional Testing of Aquatic Biota for Estimating Hazards of Chemicals (Astm Special Technical Publication// Stp) Astm Intl? John Cairns? John R. Pratt? AstmIntl? JohnCairns? JohnR.Pratt? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-Civil-Environmental-Pollution-Water? Subjects-Engineering-General? Subjects-Outdoors&Nature-Ecology-General? Subjects-Professional&Technical-Engineering-Civil-Environmental-Pollution-Water? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-Administration&MedicineEconomics-PublicHealth-Toxicology? Subjects-Science-General Subjects-Science-Nature&Ecology-General? Mechanical Relaxation of Residual Stresses (Astm Special Technical Publication// Stp) Astm Intl? Leonard Mordfin? AstmIntl? LeonardMordfin? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Testing? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Testing? Subjects-Science-General Design and Protocol for Monitoring Indoor Air Quality (Astm Special Technical Publication// Stp) Astm Intl? Niren Laxmichand Nagda? J. P. Harper? AstmIntl? NirenLaxmichandNagda? J.P.Harper? ジャンル別? Subjects-Engineering-Civil-Construction-Heating,Ventilation&AirConditioning? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Pollution-General? Subjects-Engineering-General? Subjects-Outdoors&Nature-Environment-EnvironmentalScience Subjects-Professional&Technical-Engineering-Civil-Construction-Heating,Ventilation&AirConditioning? Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Pollution-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-EarthSciences-EnvironmentalScience Subjects-Science-General Selection and Use of Wear Tests for Ceramics (Astm Special Technical Publication// Stp) Astm Intl? C. S. Yust? AstmIntl? C.S.Yust? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Testing? Subjects-Engineering-MaterialsScience-Ceramics? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-Ceramics? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Testing? Subjects-Science-General Development of Fatigue Loading Spectra (Astm Special Technical Publication// Stp) Astm Intl? John M. Potter? Roy T. Watanabe? AstmIntl? JohnM.Potter? RoyT.Watanabe? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Testing? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Testing? Subjects-Science-General Manual of Aviation Fuel Quality Control Procedures (Astm Manual Series) Astm Intl? Rick Waite? AstmIntl? RickWaite? ジャンル別? Subjects-Engineering-Energy-General? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-QualityControl? Subjects-Engineering-Aerospace-General? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-QualityControl? Subjects-Professional&Technical-Engineering-Energy-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Aerospace-General? Subjects-Science-General Oil Dispersants New Ecological Approaches (Astm Special Technical Publication// Stp) Astm Intl? L. Michael Flaherty? AstmIntl? L.MichaelFlaherty? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Pollution-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Pollution-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Analytical and Experimental Methods for Residual Stress Effects in Fatigue (Astm Special Technical Publication// Stp) Astm Intl? Robert L. Champoux? John H. Underwood? Joseph A. Kapp? AstmIntl? RobertL.Champoux? JohnH.Underwood? JosephA.Kapp? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-StrengthofMaterials? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-StrengthofMaterials? Subjects-Science-General Astm Standards on Precision and Bias for Various Applications Astm Intl? American Society for Testing and Materials? AstmIntl? AmericanSocietyforTestingandMaterials? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Testing? Subjects-Engineering-Reference? Subjects-Professional&Technical-Engineering-Reference? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Testing? Subjects-Science-General Selected Astm Standards for the Purchasing Community Astm Intl? American Society for Testing and Materials? AstmIntl? AmericanSocietyforTestingandMaterials? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-Reference? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Reference? Subjects-Professional&Technical-Engineering-General Plain Talk The Legacy of William T. Cavanaugh at Astm Astm Intl? Henry J. Stremba? Wayne P. Ellis? AstmIntl? HenryJ.Stremba? WayneP.Ellis? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-Reference? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Reference? Subjects-Professional&Technical-Engineering-General Astm Standards on Hazardous Substances and Oil Spill Response Astm Intl? American Society for Testing and Materials? AstmIntl? AmericanSocietyforTestingandMaterials? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Pollution-General? Subjects-Engineering-General? Subjects-Engineering-Reference? Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Pollution-General? Subjects-Professional&Technical-Engineering-Reference? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Factors That Affect the Precision of Mechanical Tests (Astm Special Technical Publication// Stp) Astm Intl? Ralph Papirno? H. Carl Weiss? AstmIntl? RalphPapirno? H.CarlWeiss? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Testing? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Testing? Nondestructive Testing of Pavements and Backcalculation of Moduli (Astm Special Technical Publication// Stp) Astm Intl? Albert J., III Bush? Gilbert Y. Baladi? AstmIntl? AlbertJ.,IIIBush? GilbertY.Baladi? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-Civil-Transportation&Highway? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Civil-Transportation&Highway? Subjects-Professional&Technical-Engineering-General Environmental Aspects of Stabilization and Solidification of Hazardous and Radioactive Wastes (Astm Special Technical Publication// Stp) Astm Intl? Pierre Cote? Michael Gilliam? AstmIntl? PierreCote? MichaelGilliam? ジャンル別? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-HazardousWaste? Subjects-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Engineering-General? Subjects-Engineering-Nuclear? Subjects-Outdoors&Nature-Environment-EnvironmentalScience Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-HazardousWaste? Subjects-Professional&Technical-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Professional&Technical-Engineering-Nuclear? Subjects-Professional&Technical-Engineering-General Subjects-Science-EarthSciences-EnvironmentalScience Subjects-Science-General Subjects-Science-Physics-NuclearPhysics-Radioactivity? Future Fuels for General Aviation (Astm Special Technical Publication// Stp) Astm Intl? Kurt H. Strauss? Cesar Gonzalez? AstmIntl? KurtH.Strauss? CesarGonzalez? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-Energy-General? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-Petroleum,Mining&Geological-Petroleum? Subjects-Engineering-Aerospace-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-Petroleum,Mining&Geological-Petroleum? Subjects-Professional&Technical-Engineering-Energy-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Aerospace-General? Subjects-Science-Astronomy-Aeronautics&Astronautics? Manual on Selection and Use of Engine Coolants and Cooling System Chemicals (Astm Manual Series) Astm Intl? Joseph A. Lima? George R. Otterman? AstmIntl? JosephA.Lima? GeorgeR.Otterman? ジャンル別? Subjects-Engineering-Chemical-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Chemical-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-Chemistry-ChemicalEngineering? Subjects-Science-General Subjects-Nonfiction-Automotive-Repair-General? Hazardous and Industrial Solid Waste Minimization Practices (Astm Special Technical Publication// Stp) Astm Intl? Richard A. Conway? John H. Frick? David J. Warner? Carlton C. Wiles? Duckett? AstmIntl? RichardA.Conway? JohnH.Frick? DavidJ.Warner? CarltonC.Wiles? Duckett? ジャンル別? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-HazardousWaste? Subjects-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Engineering-General? Subjects-Outdoors&Nature-Environment-EnvironmentalScience Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-HazardousWaste? Subjects-Professional&Technical-Engineering-Civil-Environmental-SolidWasteManagement? Subjects-Professional&Technical-Engineering-General Subjects-Science-EarthSciences-EnvironmentalScience Subjects-Science-General Metal Matrix Composites Testing, Analysis, and Failure Modes (Astm Special Technical Publication// Stp) Astm Intl? W. S. Johnson? AstmIntl? W.S.Johnson? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Composites? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Composites? Monitoring Methods for Toxics in the Atmosphere (Astm Special Technical Publication// Stp) Astm Intl? Walter L. Zielinski? William D. Dorko? AstmIntl? WalterL.Zielinski? WilliamD.Dorko? ジャンル別? Subjects-Engineering-SpecialTopics-AppliedAtmosphericSciences? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Pollution-Air? Subjects-Engineering-General? Subjects-Outdoors&Nature-Environment-EnvironmentalScience Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Pollution-Air? Subjects-Professional&Technical-Engineering-SpecialTopics-AppliedAtmosphericSciences? Subjects-Professional&Technical-Engineering-General Subjects-Science-EarthSciences-EnvironmentalScience Subjects-Science-General Advances in Thermoplastic Matrix Composite Materials (Astm Special Technical Publication// Stp) Astm Intl? Golam M. Newaz? AstmIntl? GolamM.Newaz? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Composites? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Composites? Subjects-Science-General Semiconductor Fabrication Technology and Metrology (Astm Special Technical Publication// Stp) Astm Intl? Dinesh C. Gupta? AstmIntl? DineshC.Gupta? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-Electrical&Electronics-Semiconductors? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Semiconductors? Subjects-Professional&Technical-Engineering-General Subjects-Science-Physics-Applied? Ground Water and Vadose Zone Monitoring (Astm Special Technical Publication// Stp) Astm Intl? David M. Nielsen? A. Ivan Johnson? AstmIntl? DavidM.Nielsen? A.IvanJohnson? ジャンル別? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Groundwater? Subjects-Engineering-General? Subjects-Outdoors&Nature-Environment-EnvironmentalScience Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Groundwater? Subjects-Professional&Technical-Engineering-General Subjects-Science-EarthSciences-EnvironmentalScience Environmentally Assisted Cracking Science and Engineering/Stp 1049 (Astm Special Technical Publication// Stp) Astm Intl? W. Barry Lisagore? Thomas W. Crooker? Brian N. Leis? AstmIntl? W.BarryLisagore? ThomasW.Crooker? BrianN.Leis? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Deterioration? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Deterioration? Accreditation Practices for Inspections, Tests, and Laboratories (Astm Special Technical Publication// Stp) Astm Intl? Harvey E. Schock? AstmIntl? HarveyE.Schock? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Testing? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Testing? High Temperature, High Shear (Astm Special Technical Publication// Stp) Astm Intl? James A. Spearot? AstmIntl? JamesA.Spearot? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Mechanical-Tribology? Subjects-Engineering-Petroleum,Mining&Geological-PetroleumRefining? Subjects-Nonfiction-Automotive-General? Subjects-Professional&Technical-Engineering-Mechanical-Tribology? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Petroleum,Mining&Geological-PetroleumRefining? Vertebrate Pest Control and Management Materials (Astm Special Technical Publication// Stp) Astm Intl? Kathleen A. Fagerstone? Richard D. Curnow? AstmIntl? KathleenA.Fagerstone? RichardD.Curnow? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Home&Garden-Gardening&Horticulture-General? Subjects-Outdoors&Nature-Fauna-Wildlife? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Subjects-Science-Nature&Ecology-Animals-Wildlife? Subjects-Science-AgriculturalSciences-Insecticides&Pesticides? Surface Crack Growth Models, Experiments, and Structures (Astm Special Technical Publication// Stp) Astm Intl? Walter G. Reuter? John H. Underwood? James C. Newman? AstmIntl? WalterG.Reuter? JohnH.Underwood? JamesC.Newman? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-FactureMechanics? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-FactureMechanics? Subjects-Science-General Biological Contaminants in Indoor Environments (Astm Special Technical Publication// Stp) Astm Intl? Philip R. Morey? James C. Feeley? James A. Otten? AstmIntl? PhilipR.Morey? JamesC.Feeley? JamesA.Otten? ジャンル別? Subjects-Engineering-Civil-Construction-Heating,Ventilation&AirConditioning? Subjects-Engineering-Civil-Environmental-General Subjects-Engineering-Civil-Environmental-Pollution-General? Subjects-Engineering-Civil-Environmental-Pollution-Air? Subjects-Engineering-General? Subjects-Medicine-BasicScience-Microbiology? Subjects-Outdoors&Nature-Environment-EnvironmentalScience Subjects-Professional&Technical-Engineering-Civil-Construction-Heating,Ventilation&AirConditioning? Subjects-Professional&Technical-Engineering-Civil-Environmental-General Subjects-Professional&Technical-Engineering-Civil-Environmental-Pollution-General? Subjects-Professional&Technical-Engineering-Civil-Environmental-Pollution-Air? Subjects-Professional&Technical-Engineering-General Subjects-Science-EarthSciences-EnvironmentalScience Subjects-Science-General Subjects-Science-Medicine-BasicScience-Microbiology? Dynamic Elastic Modulus Measurements in Materials (Astm Special Technical Publication// Stp) Astm Intl? Alan Wolfenden? AstmIntl? AlanWolfenden? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Properties-Physical? Subjects-Engineering-Materials-Testing? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Properties-Physical? Subjects-Professional&Technical-Engineering-Materials-Testing? Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-General Subjects-Science-Physics-Nanostructures? Corrosion in Natural Waters (Astm Special Technical Publication// Stp) Astm Intl? Calvin H. Baloun? AstmIntl? CalvinH.Baloun? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Thermal and Mechanical Behavior of Metal Matrix and Ceramic Matrix Composites (Astm Special Technical Publication// Stp) Astm Intl? John M. Kennedy? Helen H. Moeller? W. S. Johnson? AstmIntl? JohnM.Kennedy? HelenH.Moeller? W.S.Johnson? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Composites? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Composites? Subjects-Science-General Quantitative Methods in Fractography (Astm Special Technical Publication// Stp) Astm Intl? Bernard M. Strauss? Susil K. Putatunda? AstmIntl? BernardM.Strauss? SusilK.Putatunda? ジャンル別? ユーズドブック(洋書)-Comics&GraphicNovels? Substores-UnknownASINs-62? Subjects-Comics&GraphicNovels-ComicStrips-General? Subjects-Comics&GraphicNovels-General? Subjects-Engineering-General? Subjects-Engineering-Materials-FactureMechanics? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-FactureMechanics? Acoustic Emission Current Practice and Future Directions (Astm Special Technical Publication// Stp) Astm Intl? Wolfgang Sachse? Kusuo Yamaguchi? James Roget? AstmIntl? WolfgangSachse? KusuoYamaguchi? JamesRoget? ジャンル別? Substores-UnknownASINs-20? Subjects-Engineering-General? Subjects-Engineering-Materials-Properties-Physical? Subjects-Engineering-Materials-Testing? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Engineering-Materials-Properties-Physical? Subjects-Professional&Technical-Engineering-Materials-Testing? Subjects-Professional&Technical-ProfessionalScience-Physics-Acoustics&Sound? Subjects-Science-General Subjects-Science-Physics-Acoustics&Sound? Subjects-Professional&Technical-Engineering-General 洋書
https://w.atwiki.jp/techsure/pages/34.html
このページはhttp //www.vgleaks.com/durango-cpu-overview/からの引用です 作業中・・・ Durango CPU Overview TheDurangoCPU brings a host of modern micro-architectural performance features to console development. With Durango, a familiar instruction set architecture and high performance silicon mean developers can focus effort on content and features, not micro-optimization. The trend towards more parallel power continues in this hardware; so, an effective strategy for multi-core computing is more important than ever. Architectural Overview The Durango CPU is structured as two modules. A module contains four x64 cores, each running a single thread at 1.6 GHz. Each core contains a 32 KB instruction cache (I-cache) and a 32 KB data cache (D-cache), and the 4 cores in each module share a 2 MB level 2 (L2) cache. In total, the modules have 8 hardware threads and 4 MB of L2. The architecture is little-endian. Four cores communicate with the module’s L2 via the L2 Interface (L2I), and with the other module and the rest of the system (including main RAM) via the Core Communication Interface (CCI) and the North Bridge. Caches The caches can be summarized as shown in the following table. Cache Policy Ways Set Size Line Size Sharing L1 I Read only 2 256 64 bytes Dedicated to 1 core L1 D Write-allocate, write-back 8 64 64 bytes Dedicated to 1 core L2 Write-allocate, write-back, inclusive 16 2048 64 bytes Shared by module The 4 MB of L2 cache is split into two parts, one in each module. On an L2 miss from one module, the hardware checks if the required line is resident in the other module—either in its L2 only, or any of its cores’ L1 caches. Checking and retrieving data from the other module’s caches is quicker than fetching it from main memory, but this is still much slower than fetching it from the local L1 or L2. This makes choice of core and module very important for processes that share data. Memory access result Cycles Notes L1 hit 3 Required line is in this core’s L1 L2 hit 17 Required line is in this module’s L2 Remote L2 hit, remote L1 miss 100 Required line is in the other module’s L2 Remote L2 hit, remote L1 hit 120 Required line is in the other module’s L2 in remote core’s L1 Local L2 miss, remote L2 miss 144-160 Required line is not resident in any cache; load from memory Both L1 and L2 caches have hardware prefetchers that automatically predict the next line required, based on the stream of load/store addresses generated so far. The prefetchers can derive negative and positive strides from multiple address sequences, and can make a considerable difference to performance. While the x64 instruction set has explicit cache control instructions, in many situations the prefetcher removes the need to manually insert these. The Durango CPU does not support line or way locking in either L1 or L2, and has no L3 cache. This document does not cover memory paging or translation lookaside buffers (TLBs) on the cores. Instruction Set Architecture The cores execute the x64 instruction set (also known as x86-64 or AMD64); this instruction set will be familiar to developers working on AMD or Intel based architectures, including that of desktop computers running Windows. x64 is a 64-bit extension to 32-bit x86 , which is a complex instruction set computer (CISC) with register-memory, variable instruction length, and a long history of binary backward compatibility; that is, some instruction encodings have not changed since the 16-bit Intel 8086. The x64 architecture requires SSE2 support, and Visual Studio makes exclusive use of SSE instructions for all floating-point operations. x64 deprecates older instruction sets x87, Intel MMX®, and AMD 3DNow!®. x64 supports the following instruction set extensions SIMD/vector instructions SSE up to SSE4.2 (including SSSE3 for packing and SSE4a), and AVX F16C half-precision float conversion BMI bit shifting and manipulation AES+CLMULQDQ cryptographic function support XSAVE extended processor state save MOVBE byte swapping/permutation VEX prefixing Permits use of 256-bit operands in support of AVX instructions LOCK prefix modifies selected integer instructions to be system-wide atomic The cores do not support XOP, AVX2, or FMA3/4 (fused multiply-add). Architecturally, the cores each have sixteen 64-bit general purpose registers, eight 80-bit floating point registers, and sixteen 256-bit vector/SIMD registers. The 80-bit floating point registers are part of x87 legacy support. Performance Durango CPU cores run at 1.6 GHz; this is half the clock rate of theXbox 360’s cores. Because of this, it is tempting to assume that the Xbox 360’s cores might outperform Durango’s cores. However, this is emphatically not true, for the reasons described in the following sections. Sub-ISA Parallelism and Micro-Operations Like most recent high-performance x64 processors, the cores do not execute the x64 instruction set natively; instead, internally instructions are decoded into micro-operations, which the processor executes. This translation provides opportunities to parallelize beyond traditional superscalar execution. Durango CPU cores have dual x64 instruction decoders, so they can decode two instructions per cycle. On average, an x86 instruction is converted to 1.7 micro-operations, and many common x64 instructions are converted to 1 micro-operation. In the right conditions, the processor can simultaneously issue six micro-operations a load, a store, two ALU, and two vector floating point. The core has corresponding pipelines two identical 64-bit ALU pipelines, two 128-bit vector float pipelines (one with float multiply, one with float add), one load pipeline, and one store pipeline. A core can retire 2 micro-operations a cycle. Out of Order Execution Xbox 360 CPU cores execute in-order (also calledprogram order)the instructions in exactly the order the compiler laid them out. The Xbox 360 CPU has no opportunity to anticipate and avoid stalls caused by dependencies in the incoming instruction stream, and no compiler can eliminate all possible pipeline issues. In contrast, the Durango CPU cores execute fully out of order (OOO), also calleddata order, since execution order is determined by data dependencies. This means the processor is able, while executing a sequence of instructions, to re-order the micro-operations (notthe x64 instructions) via an internal 64-entry re-order buffer (ROB). This improves performance by Starting loads and stores as early as possible to avoid stalls. Executing instructions in data-dependency order. Fetching instructions from branch destination as soon as the branch address is resolved. Register Renaming A low count of registers can cause execution of instructions to be unnecessarily serialized. Similar in concept to translating x64 instructions to micro-operations, register names used in the x64 instruction stream are not used as is, but are instead renamed to point at entries in a large internal physical register file (PRF)—Durango cores have a 64-entry, 64-bit, general-purpose PRF and a 72-entry, 128-bit, vector float PRF. With renaming, the processor can disentangle serialization by register name alone, and to get better throughput, it can push independent micro-operations to earlier positions in the execution order via OOO. Speculative Execution Instruction streams can be regarded as being divided into basic blocks of non-branching code by branches. CPUs with deep pipelines execute basic blocks efficiently, but they face performance challenges around conditional branches. The simplest approach—stall until the conditional is determined and the branch direction is known—results in poor performance. The Durango CPU is able to fetch ahead and predict through multiple conditional branches and hold multiple basic blocks in its re-order buffer, effectively executing ahead through the code from predicted branch outcomes. This is made possible via the core tracking which registers in the PRF represent speculative results—that is, those from basic blocks that are not currently certain to be executed. Once a branch direction is determined, if the core predicted the branch direction correctly, results from that basic block are marked as valid. If the core mispredicted, speculative results (which may include many basic blocks) are discarded, and fetching and execution then begins from the correct address. Store Forwarding With in-order execution, a store to memory followed shortly by a load from the same location can cause a stall while the contents of memory (usually via an L1 line) are updated; the stall ensures that the load gets the correct result, rather than a stale value. On Xbox 360, this commonly encountered penalty is called Load-Hit-Store. On Durango, the cores have store-forwarding hardware to deal with this situation. This hardware monitors the load store queue, looking for memory accesses with the same size and address; when it finds a match, it can short-cut the store and subsequent load via the physical register file, and thereby avoid significant pipeline stalls. Highly Utilized Out of Order Load Store Engine A Durango core is able to drive its load store unit at around 80-90% capacity,even on typical code, because the combination of OOO, register renaming, and store forwarding massively reduces pipeline flushes and stalls, permitting highly effective use of L1 bandwidth. This improvement is partly the result of the load store unit being able to reorder independent memory accesses to avoid data hazards loads can be arbitrarily re-ordered, and stores may bypass loads, but stores cannot bypass other stores. By contrast, the load store hardware in the Xbox 360 is utilized at about 15% capacity on typical code, due to the many pipeline bubbles from in-order execution on the cost-reduced PowerPC cores. In conjunction with pipeline issues, the major factors in the Xbox 360’s throughput being as low as 0.2 instructions per cycle (IPC) are L1 miss, L2 miss, and waiting for data from memory. Cache Performance The Durango CPU uses 64-byte cache lines, which makes a process less likely to waste bandwidth loading unneeded data. On Xbox 360, ensuring effective use of cache lines for 128-byte lines can be tricky. While a Durango core’s L1 data cache is the same size as on Xbox 360, it is not shared between two hyper threads, and it has better set associativity. L2 is effectively three times the size, for each hardware thread, and it has better associativity 512 KB per hardware thread on Durango versus approximately 170 KB per hardware thread on Xbox 360. L1 and L2 bandwidth will be more efficiently utilized on an automatic basis via prefetching, smaller cache lines, register renaming, OOO, and store forwarding. Advanced Branch Predictor Effective branch prediction increases the likelihood that speculative execution will execute the right code path. The Durango CPU cores have an advanced dynamic branch predictor, able to predict up to 2 branches per cycle. Rather than a branchdirection, an actual branchaddressis predicted, meaning the instruction fetch unit can speculatively fetch instructions without waiting for resolution of the branch instruction dependencies and the resultant target. The first-level sparse predictor stores information about the branch target for the first two branches in a cache line, hashed by line address in 4 KB of storage. The sparse information also indicates if more than 2 branches are present in that line, and indexes into a second-level dense predictor, by using a 4-KB set-associative cache of prediction information for branches in 8-byte chunks. A branch target address calculator checks relative branch predictions as early as possible in the pipeline to permit discarding incorrectly fetched instructions. In addition, the prediction unit contains a 16-entry call/return stack and a 32-entry out-of-page address predictor.
https://w.atwiki.jp/elvis/pages/1314.html
Great Inventions, Good Intentions An Illustrated History of Design Patents 1930-1945 Eric Baker?Jane C. Martin? Confessions of a Sociopathic Social Climber The Katya Livingston Chronicle (Katya Livingston Chronicles (Audio)) Adele Lang?Josephine Bailey? Confessions of a Sociopathic Social Climber The Katya Livingston Chronicle (Katya Livingston Chronicles (Audio)) Adele Lang?Josephine Bailey? An Intimate Chronicle The Journals of William Clayton William Clayton?George D. Smith? Hotchkiss A Chronicle of an American School Ernest Kolowrat?Stephen Birmingham?C. D. B. Bryan?John Hersey? Jeep Goes to War/a Pictorial Chronicle Will Fowler? 20th Century Baseball Chronicle A Year-By-Year History of Major League Baseball Stephen Hanks? Chronicle of the Movies Needlework Garden Inspiring Designs for Creative Embroidery Jane Iles? Paul Kovi's Transylvanian Cuisine A Chronicle of the Most Remarkable Middle-European Cuisine Paul Kovi? The Civil War Notebook of Daniel Chisholm A Chronicle of Daily Life in the Union Army, 1864-1865 Daniel Chisholm?W. Springer Menge?J. August Shimrak? After Columbus The Smithsonian Chronicle of the North American Indians Herman J. Viola? Columbia Great River of the West Earl Roberge? A Time to Stand A Chronicle of the Valiant Battle of the Alamo Walter Lord? Tramp Sagas of High Adventure in the Vanishing World of the Old Tramp Freighters Michael J. Krieger? Death in White Bear Lake The True Chronicle of an All-American Town Barry Siegel? The Last Chronicle of Barset The Barchester Chronicles (BBC Radio Presents) Anthony Trollope? Chronicle of Land Transport (Longman Book Project) Fiona Reynoldson?Bobbie Neate? Longman Book Project Non-Fiction Reference Books Chronicles Chronicle Years of Books (Longman Book Project) Bobbie Neate? Casting Off (Cazalet Chronicle, Vol. 4) Elizabeth Jane Howard? The Shield of Faith A Chronicle of Strategic Defense from Zeppelins to Star Wars B. Bruce-Briggs? The Light Years (Cazalet Chronicle, No 1) Elizabeth Jane Howard? The Light Years (The Cazalet Chronicle, Vol. 1) Elizabeth Jane Howard? Marking Time (The Cazalet Chronicle, Vol. 2) Elizabeth Jane Howard? Marking Time (Cazalet Chronicle, V. 2) Elizabeth Jane Howard? Brave Men The Blood-And-Guts Combat Chronicle of Americas Most Decorated Living Soldier David H. Hackworth?Julie Sherman? Mapping the Farm The Chronicle of a Family John Hildebrand? James McNair's Soups James McNair?Patricia Brabant? Russian Blood A Family Chronicle Alex Shoumatoff? Citizens A Chronicle of the French Revolution Simon Schama? Chronicle of a Revolution A Western-Soviet Inquiry into Perestroika Abraham Brumberg? The Wapshot Chronicle John Cheever? Confessions of a Crap Artist-Jack Isidore A Chronicle of Verified Scientific Fact, 1945-1959 (Of Seville, Calif. a Chronicle of Verified Scientific Fact 1945-1959) Philip K. Dick? Martyrs' Day Chronicle of a Small War Michael Kelly? Mapping the Farm The Chronicle of a Family John Hildebrand? Cheap Eats in London Sandra Gustafson? Our Foreigners a Chronicle of Americans in the Making Samuel P. Orth? The Virgin in the Ice The Sixth Chronicle of Brother Cadfael Ellis Peters? The Field Guide (Spiderwick Chronicle) Holly Black?Tony DiTerlizzi? Lucinda's Secret (Spiderwick Chronicle) Holly Black?Tony DiTerlizzi? Things I Can Make With Leaves Sabine Lohf? Wrath of Mulgarath (Spiderwick Chronicle) Holly Black?Tony DiTerlizzi? Spiderwick Box Set (Spiderwick Chronicle) Tony DiTerlizzi?Holly Black? Family Chronicle Vasco Pratolini?M. King? "Investors Chronicle" Beginners' Guide to Investment (Investors Chronicle) Bernard Gray? Financial Times Print Works London 1988 Nicholas Grimshaw Partners (Architecture in Detail) David Jenkins? Your Birthday Sign Through Time A Chronicle of the Forces That Shape Your Destiny Rochelle Gordon?Skye Alexander?Nadia Stieglitz? Jarhead A Marine's Chronicle of the Gulf War and Other Battles Anthony Swofford? In the Company of Soldiers A Chronicle of Combat in Iraq Rick Atkinson? Brother Cadfael's Penance The 20th Chronicle of Brother Cadfael Ellis Peters?Stephen Thorne? The Glass Palace Chronicle Patricia Le Roy? The Secret History of the Knights Templar A Complete Illustrated Chronicle of the Rise and Fall of One of History's Most Secretive and Conspiratorial Brotherhoods, from Their Origins As Champi Susie Hodge? The Illustrated Encyclopedia of the Aztec Maya The Definitive Chronicle of the Ancient Peoples of Mexico Central America - Including the Aztec, Maya, Olmec, Mixtec, Toltec Zapotec (Illustrated Encyclopedia) Charles Phillips? The Silent House A Chronicle Of Aglirta Ed Greenwood? Thunderbird Chronicle Hot Rod Chronicle D. Prieto? Chronicle 1 The Green Stick Malcolm Muggeridge? Chronicle 11 The Infernal Grove Malcolm Muggeridge? Mel Torme A Chronicle of His Recordings, Books and Films George Hulme? 1767 Chronicle Armand F. Lucier? Sporting News Chronicle of 20th Century Ron Smith? The Friendship of Nature A New England Chronicle of Birds and Flowers Mabel Osgood Wright?Daniel J. Philippon? Chronicle of the Seven Sorrows Patrick Chamoiseau?Linda Coverdale? Hand to Mouth A Chronicle of Early Failure Paul Auster? 36 Days The Complete Chronicle of the 2000 Presidential Election Crisis New York Times CompanyDouglas Brinkley? In The Company Of Soldiers A Chronicle Of Combat Rick Atkinson? The Thirtymile Fire A Chronicle of Bravery and Betrayal John N. MacLean? Marx-Engels Chronicle Day-By-Day Chronology of Marx and Engels' Life and Activity (Marx-Engels Cyclopedia, Vol 1) Hal Draper? The Marx-Engels Glossary Glossary to the Chronicle and Register, and Index to the Glossary (Marx-Engels Cyclopedia, Vol 3) Hal Draper? The Pit and the Trap A Chronicle of Survival Leyb Rochman? Think Back on Us A Contemporary Chronicle of the 1930's The Social Record (Arcturus Books Edition, Ab 101, Ab 102) Malcolm Cowley?Henry Dan Piper? Think Back on Us A Contemporary Chronicle of the 1930's The Literary Record (Arcturus Books Edition, Ab 101, Ab 102) Malcolm Cowley? The Naval Chronicle The Contemporary Record of the Royal Navy at War, 1799-1804 (The Naval Chronicle , No 2) Nicholas Tracy? The Naval Chronicle The Contemporary Record of the Royal Navy at War, 1804-1806 (Naval Chronicle) Nicholas Tracy? The Naval Chronicle The Contemporary Record of the Royal Navy at War, 1807-1810 (Naval Chronicle) Nicholas Tracy? The Naval Chronicle The Contemporary Record of the Royal Navy at War, 1811-1815 (The Naval Chronicle) Nicholas Tracy? The Seven Natural Wonders of the World/Pop-Up Book Celia King? James McNair's Fish Cookbook James McNair? Baby's Own Book A Treasury for Special Moments Blue Lantern Studio? Raptors Birds of Prey John Hendrickson? Helpful Hints for Housewives A Treasury of Tips for the Model Homemaker Benjamin Darling Cakes Men Like Fifty Fun-Filled Recipes Benjamin Darling Save Oregon An Environmental Resource Directory Ann Brandvig?Richard Becker? Wind, Sand, and Silence Travels With Africa's Last Nomads Victor Englebert? In the Beginning Great First Lines from Your Favorite Books Hans Bauer? Leonardo Knows Baseball Charles Hobson? Contented Cat Nobuo Honda? California Country Interior Design, Architecture, and Style Diane Dorrans Saeks?John Vaughan? Real Thai The Best of Thailand's Regional Cooking Nancie McDermott? Imperial China (Architectural Guides for Travelers) Charis Chan? Shooting Back A Photographic View of Life by Homeless Children Jim Hubbard? The Best of Herb Caen, 1960-1975 Herb CaenIrene Mecchi? Guess Who? a Peek-A-Boo Book Taro Gomi Marin Beth Ashley?Hal Lauritzen? A Pacific Legacy A Century of Maritime Photography, 1850-1950 Wayne Bonnett? There's a Mouse in the House (Peek-a-Boo Books) Taro Gomi Kon-Tiki Man An Illustrated Biography of Thor Heyerdahl Thor Heyerdahl?Christopher Ralling? Diary of an Arctic Year Stephen J. Krasemann? Here Today Portraits of Our Vanishing Species Susan Middleton?David Liittschwager? Little Japanese Cookbook Emi Kazuko?Kumiko Yamamoto? Places to Eat New England A Guide to More Than 200 Fun, Casual, and Cheap Restaurants (Places to Eat New England) Pamela Wright?Diane Bair? Among the Orangutans The Birute Galdikas Story (The Great Naturalists) Evelyn Gallardo? Zap! Ray Gun Classics Leslie Singer? The Forty Fathom Bank A Novella Les Galloway? Quality Time and Other Quandaries New Cartoons Gail Machlis? Blue Note The Album Cover Art Graham MarshFelix Cromey?Glyn Callingham People Harry Benson? Play Ball Great Moments and Dubious Achievements in Baseball History John Snyder? Frida Kahlo Postcards (Collectible Postcards) Frida Kahlo? Art of Japan Masterworks in the Asian Art Museum of San Francisco Yoshiko Kakudo? Here Today Portraits of Our Vanishing Species Susan Middleton?David Liittschwager? Kanban The Art of the Japanese Shop Sign Dana Levy?Lea Sneider?Frank B. Gibney? Little Swiss Cookbook Jacqueline Martinet?Ursula Roos? Diego Rivera Postcards (Collectible Postcards) Diego Rivera? En Route Label Art from the Golden Age of Air Travel Lynn Johnson?Michael O Leary? My Baby A Snapshot Keepsake Book Michele Durkson Clise? Rolling Stones' Rock and Roll Circus Mike Randolph? Incidents of Travel in Egypt, Arabia, Petraea, and the Holy Land John Lloyd Stephens? Little Florida Cookbook Anne Hardy? Letterheads One Hundred Years of Great Design, 1850-1950 Leslie Carbarga? Rolling Stones' Rock and Roll Circus Mike Randolph? Cheap Eats in Paris Sandra Gustafson? Cheap Sleeps in Paris Sandra Gustafson? New Orleans Stories Great Writers on the City John Miller?Genevieve Anderson? Herb Caen's San Francisco, 1976-1991 Herb CaenIrene Mecchi? Parzival's Briefcase Six Practices and a New Philosophy for Healthy Organizational Change Tony Smith?Anthony Russo? Masks of Bali Spirits of an Ancient Drama Judy Slattum? Spanish Style Postcards (Style Postcards) Suzanne Slesin? Best Restaurants of San Francisco The San Francisco Chronicle Guide to Fine Dining Patricia Uterman? The Big Book of Boxes Taro Gomi Pieces of the Heart New Chicano Fiction Gary Soto? Kon-Tiki Man An Illustrated Biography of Thor Heyerdahl Thor Heyerdahl?Christopher Ralling? English Garden Style Postcards Suzanne Slesin?Stafford Cliff? Great Women Artists A Book to Keep and 15 Different Cards to Send (Postbox Collection) California Reefs Chuck Davis? New Orleans Elegance and Decadence Randolph Delehanty?Richard Sexton? Shelf Life Modern Package Design 1920-1945 Jerry Jankowski?Paul Chamberlain? James McNair's Stews Casseroles James McNair? Baking Bread Old and New Traditions Beth HenspergerJoyce Oudkerk Pool? Hot, Hotter, Hottest 50 Fiery Recipes Janet Hazen? Gump's Since 1861 A San Francisco Legend Janet Roseman?John Clayton? James McNair's Stews Casseroles James McNair? Chinese Proverbs (Little Books Series) Ruthanne Lum McCunn?You-Shan Tang? A Parallel Life and Other Stories Robin Beeman? Radios Redux Listening in Style Philip Collins? After You Say Goodbye When Someone You Love Dies of AIDS Paul Kent Froman? Think Thin Visualizing Your Way to a Trim and Healthy Body Valerie Wells? Welcome to Earth, Mom Adair Lara? Napa Valley The Ultimate Winery Guide Antonia Allegra?Richard Gillette? James McNair's Burgers James McNair? Dressing With Color The Designer's Guide to over 1,000 Color Combinations Jeanne AllenIkuyoshi Shibukawa?Yumi Takahashi? Biscotti Lou Seibert Pappas? Star Wars From Concept to Screen to Collectible Stephen J. SansweetSteve EssigDavid Tucker Little California Cookbook John Phillip Carroll?Darlene Campbell? James McNair's Burgers James McNair? Radios Redux Listening in Style Philip Collins? James McNair's Vegetarian Pizza James McNair? The Joy of Visualization 75 Creative Ways to Enhance Your Life Valerie Wells? The Twelve Cats of Christmas Kandy Radzinski? Felines Great Poets on Notorious Cats Martha Paulos? James McNair's Beans Grains James K. McNair?Andrew Moore Visiting Eden The Public Gardens of Northern California Joan Chatfield-Taylor?Melbal Levick? James McNair's Vegetarian Pizza James McNair? Little Brazilian Cookbook Elisabeth Lambert Ortiz?Jose Geraldo Fajardo? Viva Las Vegas After Hours Architecture Alan Hess? Danger Is My Business An Illustrated History of the Fabulous Pulp Magazines/1896-1953 Lee Server? The Kopan Cookbook Vegetarian Recipes from a Tibetan Monastery Betty Jung? A Little Texas Cookbook Carolyn Dehnel?Sue Dray? James McNair's Favorites James K. McNair?Andrew Moore Smokerama Classic Tobacco Accoutrements Philip Collins?Sam Sargent? The Surreal Gourmet Real Food for Pretend Chefs Bob Blumer? When Dinosaurs Go Visiting Linda Martin? House That Crack Built Clark Taylor?Jan Thompson Dicks? Decorating Eden A Comprehensive Sourcebook of Classic Garden Details Elizabeth Wilkinson?Marjorie Henderson? Wildlife Southwest (Chronicle Junior Nature Series) Jill Skramstad? Potager Fresh Garden Cooking in the French Style Georgeanne BrennanJohn Vaughan? Golf! Great Moments Dubious Achievements in Golf History Floyd Conner? On Flowers Kathryn KleinmanSara Slavin? Places to Go With Children in Southern California Stephanie Kegan? John Kent's Venice A Color Guide to the City John Kent? Oriental Gardens An Illustrated History Norah Titley?Frances Wood? The House That Crack Built Clark Taylor?Jan Thompson Dicks? Potager Fresh Garden Cooking in the French Style Georgeanne BrennanJohn Vaughan? Embracing Earth New Views of Our Changing Planet Payson R. Stevens?Kevin W. Kelley? Tigers Jean-Pierre Zwaenepoel? Horses The Art of Deborah Butterfield Marcia Tucker? Living in Australia Betsy Walter?Jean Wright?Moira Maguire? The Friendship Book Woodleigh Hubbard? The Spirit of the Chinese Character Gifts from the Heart Barbara AriaRussell Eng Gon? Tigers Jean-Pierre Zwaenepoel? The Horror of the Heights Other Tales of Suspense Arthur Conan, Sir Doyle? Montreal The Ultimate Guide Anne Smith?Brian Pel?Louis Fortier? Our Wedding A Snapshot Keepsake Book Michele Durkson Clise? Weekends for Two in Southern California 50 Romantic Getaways Bill Gleeson?Jon Swain? Toronto The Ultimate Guide Margaret E. Mackenzie?Roderick Mackenzie? Animal Alphabet (Series Folding Screen Books) Michele Durkson Clise? Monterey Pop June 16-18, 1967 Joel SelvinJim Marshall The Art of the Cocktail 100 Classic Cocktail Recipes Philip Collins?Sam Sargent? The Rainforests A Celebration Living Earth Foundation?Lisa Silcock? Fireplaces Alexandra Edwards?Cookie Kinkead? The Ocean (Nature Panoram Series) Susan Deming? Seven Modern Wonders of the World/a Pop-Up Book Celia King? Snake Poems An Aztec Invocation Francisco X. Alarcon? My Brother's Face Portraits of the Civil War in Photographs, Diaries, and Letters Charles Phillips?Alan Axelrod? Native American Portraits, 1862-1918 Photographs from the Collection of Kurt Koegler Nancy Hathaway? Chicago Stories Tales of the City John Miller?Genevieve Anderson?Genevieve Morgan? Dancing Colors Paths of Native American Women Laine ThomC. J. Brafford? A Greener Boston An Environmental Resource Directory for the Greater Boston Area Catherine Walthers? A Little Dutch Cookbook Karen Sluiters?Ditte Kummer? Basil Janet Hazen?Pearl Beach? Bed and Breakfast Ireland A Select Guide to over 300 of Ireland's Best Bed and Breakfasts Elsie Dillard?Susan Causin? Mustard Making Your Own Gourmet Mustards Janet Hazen?Ward Schumaker? Frida Kahlo (Series Folding Screen Books) Frida Kahlo? Sabine's Notebook In Which the Extraordinary Correspondence of Griffin Sabine Continues Nick Bantock Waiting for Filippo The Life of Renaissance Architect Filippo Burnelleschi Michael Bender? Dogs A Classic Illustrated Treasury (Classic Illustrated Treasury) Blue Lantern Studio? Rabbits A Classic Illustrated Treasury (A Classic Illustrated Treasury) Blue Lantern Studio? Discovery of America Other Myths A New World Reader Thomas Christensen?Carol Christensen? Scaramouche Rafael Sabatini? A Classic Illustrated Treasury Horses Blue Lantern Studio? Accordion-fold Book for the Umbrellas Christo? The Linen Closet How to Care for Your Fine Linens and Lace Michele Durkson Clise? Garlic Janet Hazen? San Francisco A Certain Style Diane Dorrans Saeks? Vision and Revision Hand Colored Prints Wayne Thiebaud? In the Arts Crafts Style Barbara Mayer?Rob Gray? How to Massage Your Cat Alice May Brock? Polar Bear Downs Matthews?Dan Guravich? Portrait of Nepal Kevin Bubriski? Five Centuries of Tapestry From the Fine Arts Museums of San Francisco Anna Gray-Bennett? Cheap Eats in Italy/a Guide to More Than 150 Inexpensive Ristorantes, Trattorias, and Cafes in Florence, Rome, and Venice Sandra Gustafson? Miami The Sophisticated Tropics Morton Beebe?Judith Dunham? Desert Light Myths and Visions of the Great Southwest John Miller?Dean Lee Uhlinger? Fireplaces Alexandra Edwards?Cookie Kinkead? Five Centuries of Tapestry From the Fine Arts Museums of San Francisco Anna Gray-Bennett?Anna Gray Bennett? Frida Kahlo The Camera Seduced Elena Poniatowska? Southwest Stories Tales from the Desert John Miller?Genevieve Morgan? Antipasti The Little Dishes of Italy Julia Della Croce?Joyce Oudkerk Pool? Becoming Brave The Path to Native American Manhood Laine Thom Cody's Books The Life and Times of a Berkeley Bookstore, 1956-1977 Pat Cody?Fred Cody? Raptors, Birds of Prey John Hendrickson? St. Francis Preaches to the Birds Peter Schumann? Beautiful Bulbs Simple Secrets for Glorious Gardens-Indoors and Out (A Garden Style Book) Georgeanne BrennanMimi LuebbermannFaith Echtermeyer I Am the Most Interesting Book of All The Diary of Marie Bashkirtseff (Vol 1) Marie Bashkirtseff?Phyllis Howard Kernberger?Katherine Kernberger? Vision and Revision Hand Colored Prints Wayne Thiebaud? Voodoo Economics Cartoons William Hamilton? The Birthday Cake Book Sylvia Thompson?Brooke Scudder? Baking Bread Old and New Traditions Beth HenspergerJoyce Oudkerk Pool? Weddings for Grownups Everything You Need to Know to Plan Your Wedding Your Way Carroll Stoner? Fairy Tales from Hans Christian Andersen/Classic Illustrated Edition Hans Christian AndersenRussell Ash?Bernard Higton? On Suicide Great Writers on the Ultimate Question John Miller?Genevieve Anderson?Genevieve Morgan? Breaking Bounds The Dance Photography of Lois Greenfield William A. Ewing?Lois Greenfield The City by the Bay A Magical Journey Around San Francisco Tricia Brown?Elisa Kleven? Cheap Sleeps in Italy A Guide to More Than 100 Charming, Comfortable, and Inexpensive Hotels and Pensions in Florence, Rome, and Venice (Cheap Sleeps in Italy) Sandra Gustafson? Dancing Colors Paths of Native American Women Laine ThomC. J. Brafford? Bittersweet Within My Heart The Love Poems of Mary, Queen of Scots Robin Bell? Catmas Carols Laurie Loughlin?Mary Ross? Frida Kahlo The Camera Seduced Elena Poniatowska? Elephants Never Forget Classic Nursery Rhymes Graham Percy? Masks of Bali Spirits of an Ancient Drama Judy Slattum?Paul Schraub? Fire Race A Karuk Coyote Tale About How Fire Came to the People Jonathan London?Lanny Pinola?Sylvia Long Don't Pick Up the Baby or You'll Spoil the Child and Other Old Wives' Tales About Pregnancy and Parenting Colleen Davis Gardephe?Steve Ettlinger?Karen Smidth? Lesley Anne Ivory's Collectable Cats A Book to Keep and 15 Different Cards to Send Lesley Anne Ivory? King and I A Little Gallery of Elvis Impersonators Karin Pritikin?Kent Barker? Degas' Dancers Folding Screen (Folding Screen) The Chocolate Book Sara Perry?Ben Garvie? Praise, Vilification Sexual Innuendo Or, How to Be a Critic The Selected Writings of John L. Wasserman (1964-1979) Abby Wasserman? Pasta Classica The Art of Italian Pasta Cooking Julia Della Croce? Little Herb Gardens Simple Secrets for Glorious Gardens--Indoors and Out (A Garden Style Book) Georgeanne BrennanMimi Luebbermann Oaxacan Woodcarving The Magic in the Trees Shepard Barbash?Vicki Ragan? A Little Book of Scotch Whiskies Derek Cooper?Jane Dodds? Vanilla Janet Hazen?Susan Gross? A Little Coffee Cookbook Janet Laurence?Catherine McWilliams? Dinosaur Valley Mitsuhiro Kurokawa? Witness Endangered Species of North America Susan Middleton?David Liittschwager? Mrs. Mustard's Name Games Including the Common and the Curious, the Famous and the Infamous, the Long and the Short of It (A Rebus Book) Jane Wattenberg? Condor's Egg Jonathan London?James Chaffee? Places to Go With Children in Northern California Elizabeth Pomada? A Little Polish Cookbook Mary Pininska?Maciej Buszewicz? Under the Sea Weird Wonderful Creatures from the Deep Leighton R. Taylor?Youji Ohkata? Holiday Feasts Festive Cooking for Family and Friends (Artful Kitchen) Lou Seibert Pappas?Carolyn Vibbert? Little Indian Cookbook Rafi Fernandez? Re-Arrangements A Book of Flowers Colette?Debra Heimerdinger? Volkswagen A Week at the Factory Peter Keetman?Armin Kley?Dirk Nishen?Rolf Sachsse? Aquarium Fish of the World The Comprehensive Guide to 650 Species Atsushi Sakurai?Yohei Sakamoto?Fumitoshi Mori?Paul V. Loiselle? My Day A Tale of Fear, Alienation, and Despair Marvin Heiferman?Carole Kismaric? Made in Japan Transistor Radios of the 1950s and 1960s Roger Handy?Maureen Erbe?Aileen Antonier? California Cool West Coast Jazz of the 50s and 60S, the Album Cover Art Graham MarshGlyn Callingham The Best of Bizarro Dan Piraro? Yosemite/Postbox Collection/Book 15 Postcards/Boxedset Hannah Gosnell?William Neill? Pastime Telling Time from 1879 to 1969 Philip Collins?Garry Brod? Edward S. Curtis Folding Screen (Folding Screen) Marquand Co.? Touchdown! Great Moments and Dubious Achievements in Football History John S. Snyder? Great Desserts from the Great Chefs Baba S. Khalsa?Andrea Opalenik? Witness Endangered Species of North America Susan Middleton?David Liittschwager? The Magic Handbook Malcolm Bird?Alan Dart? The Eyes of Grey Wolf Jonathan London?Jon Van Zyle? Honey From Hive to Honeypot A Celebration of Bees and Their Bounty Sue Style?Graham Evernden? Italian Art Deco Graphic Design Between the Wars Steven HellerLouise Fili? Tequila The Book Ann Walker?Larry Walker?Diane Borowski?
https://w.atwiki.jp/elvis/pages/6918.html
Intellectual Property Issues Facing High-Tech Industries Proceedings of a Conference Held 7 November 2000, Boston, Massachusetts (Critical Reviews of Optical Science and Technology) Society of Photo Optical? Joseph E. Gortych? SocietyofPhotoOptical? JosephE.Gortych? ジャンル別? Subjects-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Engineering-General? Subjects-Engineering-Mechanical-Automation? Subjects-Law-IntellectualProperty-General? Subjects-Law-IntellectualProperty-Patent,Trademark&Copyright? Subjects-Law-AdministrativeLaw-General? Subjects-Law-LawPractice-Reference? Subjects-Law-PrivateLaw? Subjects-Nonfiction-Law-IntellectualProperty-General? Subjects-Nonfiction-Law-IntellectualProperty-Patent,Trademark&Copyright? Subjects-Nonfiction-Law-AdministrativeLaw-General? Subjects-Nonfiction-Law-LawPractice-Reference? Subjects-Nonfiction-Law-PrivateLaw? Subjects-Professional&Technical-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Professional&Technical-Engineering-Mechanical-Automation? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Law-AdministrativeLaw-General? Subjects-Professional&Technical-Law-IntellectualProperty-General? Subjects-Professional&Technical-Law-LawPractice-Reference? Subjects-Reference-Law? Selected Papers on Three-Dimensional Displays (S P I E Milestone Series) Society of Photo Optical? Stephen A. Benton? SocietyofPhotoOptical? StephenA.Benton? ジャンル別? Subjects-Computers&Internet-ComputerScience-Circuitry-Communication&SignalProcessing? Subjects-Engineering-ComputerTechnology-ImagingSystems? Subjects-Engineering-Electrical&Electronics-Electronics-General? Subjects-Engineering-Electrical&Electronics-Electronics-Apparatus,Devices&Design? Subjects-Engineering-Electrical&Electronics-General? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Professional&Technical-Engineering-ComputerTechnology-ImagingSystems? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Electronics-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Electronics-Apparatus,Devices&Design? Subjects-Professional&Technical-Engineering-Electrical&Electronics-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-General? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Smart Materials SPIE-International Society for Optical Engine? Alan R. Wilson? Hiroshi Asanuma? SPIE-InternationalSocietyforOpticalEngine? AlanR.Wilson? HiroshiAsanuma? ジャンル別? Subjects-Engineering-Chemical-PolymerChemistry? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Engineering-MaterialsScience-PolymerScience? Subjects-Professional&Technical-Engineering-Chemical-PolymerChemistry? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-PolymerScience? Subjects-Professional&Technical-Engineering-General Smart Structures and Devices (Proceedings Europt Series) SPIE-International Society for Optical Engine? Dinesh K. Sood? Ronald A. Lawes? Vasundara V. Varadan? SPIE-InternationalSocietyforOpticalEngine? DineshK.Sood? RonaldA.Lawes? VasundaraV.Varadan? ジャンル別? Subjects-Engineering-Civil-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Civil-General? Subjects-Professional&Technical-Engineering-General Fundamental Optical Design (Spie Press Monograph, Pm92) Society of Photo Optical? Michael J. Kidger? SocietyofPhotoOptical? MichaelJ.Kidger? ジャンル別? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Optics? Subjects-Reference? Subjects-Science-General Subjects-Science-Physics-Optics? Clinical Diagnostic Systems (Progress in Biomedical Optics and Imaging,) SPIE-International Society for Optical Engine? Gerald E. Cohn? SPIE-InternationalSocietyforOpticalEngine? GeraldE.Cohn? ジャンル別? Subjects-Engineering-General? Subjects-Medicine-Physician&Patient-Diagnosis? Subjects-Medicine-InternalMedicine? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-Medicine-InternalMedicine-PhysicalExamination? Subjects-Science-Medicine-Physician&Patient-Diagnosis? Subjects-Science-Medicine-InternalMedicine? The Search for Extraterrestrial Intelligence (SETI) in the Optical Spectrum III (SPIE Proceedings) SPIE-International Society for Optical Engine? Stuart Kingsley? SPIE-InternationalSocietyforOpticalEngine? StuartKingsley? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Astronomy-Astronomy? Subjects-Science-Physics-Applied? Subjects-Science-Astronomy-Astronomy? The Rainbow Bridge Rainbows in Art, Myth, and Science (Spie Press Monograph) Society of Photo Optical? Raymond L. Lee? Alistair B. Fraser? SocietyofPhotoOptical? RaymondL.Lee? AlistairB.Fraser? ジャンル別? Subjects-Arts&Photography-Art-Painting-StillLife? Subjects-Arts&Photography-Art-ArtHistory-General? Subjects-Engineering-General? Subjects-Literature&Fiction-GenreFiction-FairyTales? Subjects-Nonfiction-Philosophy-Science? Subjects-Nonfiction-SocialSciences-Folklore&Mythology? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Optics? Subjects-Science-General Subjects-Science-History&Philosophy-General? Subjects-Science-History&Philosophy-HistoryofScience? Subjects-Science-Physics-Optics? International Conference on Lasers for Measurements and Information Transfer SPIE-International Society for Optical Engine? Vadim E. Privalov? SPIE-InternationalSocietyforOpticalEngine? VadimE.Privalov? ジャンル別? Substores-UnknownASINs-22? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Professional&Technical-Engineering-General Subjects-Science-Physics-General? Experimental Mechanics (Proceedings of Spie--The International Society for Optical E) SPIE-International Society for Optical Engine? Fook S. Chau? Chenggen Quan? SPIE-InternationalSocietyforOpticalEngine? FookS.Chau? ChenggenQuan? ジャンル別? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Modeling, Signal Processing, and Control in Smart Structures (Smart Structures and Materials 2001) SPIE-International Society for Optical Engine? Vittal S. Rao? SPIE-InternationalSocietyforOpticalEngine? VittalS.Rao? ジャンル別? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-Extraction&Processing? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-Extraction&Processing? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Astronomy-Astronomy? Subjects-Science-Astronomy-Astronomy? Sensory Phenomena and Measurement Instrumentation for Smart Structures and Materials (Smart Structures and Materials 2001) SPIE-International Society for Optical Engine? Eric Udd? Daniele Inaudi? SPIE-InternationalSocietyforOpticalEngine? EricUdd? DanieleInaudi? ジャンル別? Substores-UnknownASINs-22? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Professional&Technical-Engineering-General Electroactive Polymer Actuators and Devices (Smart Structures and Materials 2001) SPIE-International Society for Optical Engine? Yoseph Bar-Cohen? SPIE-InternationalSocietyforOpticalEngine? YosephBar-Cohen? ジャンル別? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Industrial and Commercial Applications of Smart Structures Technologies (Smart Structures and Materials 2001) SPIE-International Society for Optical Engine? Anna-Maria R. McGowan? SPIE-InternationalSocietyforOpticalEngine? Anna-MariaR.McGowan? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-Physics-Nanostructures? Subjects-Science-Technology-General&Reference Active Materials Behavior and Mechanics (Smart Structures and Materials 2001) SPIE-International Society for Optical Engine? Christopher S. Lynch? SPIE-InternationalSocietyforOpticalEngine? ChristopherS.Lynch? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-Physics-Nanostructures? Subjects-Science-Technology-General&Reference Nondestructive Evaluation of Materials and Composites V (Smart Structures and Materials 2001) SPIE-International Society for Optical Engine? George Y. Baaklini? Eric S. Boltz? Steven M. Shepard? SPIE-InternationalSocietyforOpticalEngine? GeorgeY.Baaklini? EricS.Boltz? StevenM.Shepard? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-Physics-Nanostructures? Subjects-Science-Technology-General&Reference Nondestructive Testing and Computer Simulations in Science and Engineering (Smart Structures and Materials 2001) SPIE-International Society for Optical Engine? Alexander I. Melker? SPIE-InternationalSocietyforOpticalEngine? AlexanderI.Melker? ジャンル別? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-Physics-Nanostructures? Subjects-Science-Technology-General&Reference Selected Papers on Subwavelength Diffractive Optics (Spie Milestone Series, V. Ms 166) Society of Photo Optical? Joseph Neil Mait? Dennis W. Prather? SocietyofPhotoOptical? JosephNeilMait? DennisW.Prather? ジャンル別? Subjects-Engineering-ComputerTechnology-Holography? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-ComputerTechnology-Holography? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Optics? Subjects-Science-General Subjects-Science-Physics-Optics? Unmanned Ground Vehicle Technology III (Smart Structures and Materials 2001) SPIE-International Society for Optical Engine? Grant R. Gerhart? Chuck M. Shoemaker? SPIE-InternationalSocietyforOpticalEngine? GrantR.Gerhart? ChuckM.Shoemaker? ジャンル別? Subjects-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Engineering-General? Subjects-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-ComputerTechnology-Robotics&Automation? Subjects-Professional&Technical-Engineering-Mechanical-Robotics? Subjects-Professional&Technical-Engineering-General Chemical and Biological Sensing (Smart Structures and Materials 2001) SPIE-International Society for Optical Engine? Patrick J. Gardner? SPIE-InternationalSocietyforOpticalEngine? PatrickJ.Gardner? ジャンル別? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-Manufacturing? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Digital Shearography Theory and Application of Digital Speckle Pattern Shearing Interferometry (Spie Press Monograph) Society of Photo Optical? Wolfgang Steinchen? Lianxiang Yang? SocietyofPhotoOptical? WolfgangSteinchen? LianxiangYang? ジャンル別? Subjects-Engineering-ComputerTechnology-Holography? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-ComputerTechnology-Holography? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Subjects-Science-Technology-General&Reference Selected Papers on Electromagnetic Fields in the Focal Region (Spie Milestone Series, V. Ms 168) Society of Photo Optical? Jakob J. Stamnes? SocietyofPhotoOptical? JakobJ.Stamnes? ジャンル別? Subjects-Engineering-Electrical&Electronics-ElectromagneticTheory? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Nonfiction-Philosophy-Science? Subjects-Professional&Technical-Engineering-Electrical&Electronics-ElectromagneticTheory? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Optics? Subjects-Science-General Subjects-Science-History&Philosophy-General? Subjects-Science-Physics-Optics? Subjects-Science-Physics-Electromagnetism-General? Optoelectronic Information Processing Optics for Information Systems (Critical Reviews of Optical Science and Technology, V. Cr81) Society of Photo Optical? Universidad De Valencia Departmento De Optica? European Optical Society? Universidad De Valencia Cinc Segles? Philippe Refregier? SocietyofPhotoOptical? UniversidadDeValenciaDepartmentoDeOptica? EuropeanOpticalSociety? UniversidadDeValenciaCincSegles? PhilippeRefregier? ジャンル別? Subjects-Computers&Internet-ComputerScience-Circuitry-Optoelectronics? Subjects-Engineering-ComputerTechnology-ImagingSystems? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-ComputerTechnology-ImagingSystems? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Substores-UnknownASINs-jp-unknown2? Intellectual Property A Guide for Engineers (Spie Press Monograph) Society of Photo Optical? Council on Public Affairs of ASME International? The Committee on Public Information of the Section of the Intellectual Property Law of the American Bar Association? SocietyofPhotoOptical? CouncilonPublicAffairsofASMEInternational? TheCommitteeonPublicInformationoftheSectionoftheIntellectualPropertyLawoftheAmericanBarAssociation? ジャンル別? ユーズドブック(洋書)-Law? Subjects-Engineering-General? Subjects-Law-PracticalGuides-General? Subjects-Law-Business-Property? Subjects-Law-IntellectualProperty-General? Subjects-Law-IntellectualProperty-Patent,Trademark&Copyright? Subjects-Law-EnglishLaw-General? Subjects-Nonfiction-Law-PracticalGuides-General? Subjects-Nonfiction-Law-Business-Property? Subjects-Nonfiction-Law-IntellectualProperty-General? Subjects-Nonfiction-Law-IntellectualProperty-Patent,Trademark&Copyright? Subjects-Nonfiction-Law-EnglishLaw-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Law-Business-Property? Subjects-Professional&Technical-Law-IntellectualProperty-General? Subjects-Professional&Technical-Law-IntellectualProperty-Patent,Trademark&Copyright? Alien Vision Exploring the Electromagnetic Spectrum With Imaging Technology (Spie Press Monograph) Society of Photo Optical? Austin Richards? SocietyofPhotoOptical? AustinRichards? ジャンル別? Subjects-Engineering-ComputerTechnology-ImagingSystems? Subjects-Engineering-Electrical&Electronics-ElectromagneticTheory? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-ComputerTechnology-ImagingSystems? Subjects-Professional&Technical-Engineering-Electrical&Electronics-ElectromagneticTheory? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Statics? Subjects-Science-General Subjects-Science-Physics-General? Subjects-Science-Physics-Statics? Subjects-Science-Physics-Electromagnetism-General? Modulation Transfer Function in Optical and Electro-Optical Systems (Tutorial Texts in Optical Engineering, V. Tt 52) Society of Photo Optical? Glenn D. Boreman? SocietyofPhotoOptical? GlennD.Boreman? ジャンル別? Subjects-Computers&Internet-ComputerScience-Circuitry-Optoelectronics? Subjects-Engineering-ComputerTechnology-ImagingSystems? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-ComputerTechnology-ImagingSystems? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Subjects-Science-Physics-Optics? Subjects-Science-Physics-Electromagnetism-General? Image Performance in Crt Displays (Tutorial Texts in Optical Engineering) Society of Photo Optical? Kenneth Compton? SocietyofPhotoOptical? KennethCompton? ジャンル別? Subjects-Computers&Internet? Subjects-Engineering-General? Subjects-Engineering-Industrial,Manufacturing&OperationalSystems-QualityControl? Subjects-Engineering-Telecommunications-Television&Video? Subjects-Entertainment-Movies-Video-Technical? Subjects-Professional&Technical-Engineering-Industrial,Manufacturing&OperationalSystems-QualityControl? Subjects-Professional&Technical-Engineering-Telecommunications-Television&Video? Subjects-Professional&Technical-Engineering-General Subjects-Science-Physics-Optics? Subjects-Science-Physics-Applied? High-Resolution Wavefront Control SPIE Society of Photo-Optical Instrumentation Engi? John D. Gonglewski? et al? SPIESocietyofPhoto-OpticalInstrumentationEngi? JohnD.Gonglewski? etal? ジャンル別? Substores-UnknownASINs-22? Subjects-Engineering-ComputerTechnology-ImagingSystems? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-Electrical&Electronics-Optics-Lasers? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-ComputerTechnology-ImagingSystems? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-Lasers? Subjects-Professional&Technical-Engineering-General Subjects-Science-Physics-Applied? Color Vision and Colorimetry Theory and Applications (Spie Press Monograph) Society of Photo Optical? Daniel Malacara? SocietyofPhotoOptical? DanielMalacara? ジャンル別? Subjects-Engineering-Bioengineering-BiomedicalEngineering? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-Bioengineering-BiomedicalEngineering? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-AlliedHealthProfessions-Optometry? Subjects-Professional&Technical-ProfessionalScience-Physics-Light? Subjects-Professional&Technical-ProfessionalScience-Physics-Optics? Subjects-Science-Physics-Light-General? Subjects-Science-Physics-Optics? Subjects-Science-BiologicalSciences-Anatomy? Selected Papers on Night Vision Technology (Spie Milestone Series, Ms 169) Society of Photo Optical? R. Hradaynath? SocietyofPhotoOptical? R.Hradaynath? ジャンル別? Subjects-Engineering-Electrical&Electronics-General? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Introduction to Laser Diode-Pumped Solid State Lasers (Tutorial Texts in Optical Engineering) Society of Photo Optical? Richard Scheps? SocietyofPhotoOptical? RichardScheps? ジャンル別? Subjects-Engineering-Electrical&Electronics-Optics-Lasers? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-Lasers? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-SolidStatePhysics? Subjects-Science-General Subjects-Science-Physics-Light-Lasers? Subjects-Science-Physics-Solid-StatePhysics-General? Mounting Optics in Optical Instruments (Spie Press Monograph, Pm110.) Society of Photo Optical? Paul R. Yoder? SocietyofPhotoOptical? PaulR.Yoder? ジャンル別? Subjects-Engineering-Aerospace-AdvancedMechanics? Subjects-Engineering-Bioengineering-BiomedicalEngineering? Subjects-Engineering-Civil-Mechanics? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Civil-Mechanics? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-Aerospace-AdvancedMechanics? Subjects-Professional&Technical-Engineering-Bioengineering-BiomedicalEngineering? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-AlliedHealthProfessions-Optometry? Subjects-Science-General Selected Papers on Fundamental Techniques in Holography (Spie Milestone Series, V. Ms 171) Society of Photo Optical? Hans I. Bjelkhagen? H. J. Caulfield? SocietyofPhotoOptical? HansI.Bjelkhagen? H.J.Caulfield? ジャンル別? Subjects-Engineering-ComputerTechnology-Holography? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-ComputerTechnology-Holography? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Optics? Subjects-Science-General Subjects-Science-Physics-Optics? Introduction to the Optical Transfer Function (Spie Press Monograph, Pm112.) Society of Photo Optical? Charles S. Williams? Orville A. Becklund? SocietyofPhotoOptical? CharlesS.Williams? OrvilleA.Becklund? ジャンル別? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Optics? Subjects-Science-General Subjects-Science-Physics-General? Subjects-Science-Physics-Light-General? Subjects-Science-Physics-Optics? Adaptive Beaming and Imaging in the Turbulent Atmosphere (Spie Press Monograph, Pm109) Society of Photo Optical? Vladimir P. Lukin? Boris V. Fortes? SocietyofPhotoOptical? VladimirP.Lukin? BorisV.Fortes? ジャンル別? Subjects-Engineering-SpecialTopics-AppliedAtmosphericSciences? Subjects-Engineering-ComputerTechnology-ImagingSystems? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-Electrical&Electronics-Optics-Lasers? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-ComputerTechnology-ImagingSystems? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-Lasers? Subjects-Professional&Technical-Engineering-SpecialTopics-AppliedAtmosphericSciences? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-EarthSciences-Meteorology-General? Subjects-Science-EarthSciences-Meteorology-General? Subjects-Science-General Subjects-Science-Physics-General? Subjects-Science-Physics-Optics? Subjects-Science-Physics-Applied? Selected Papers on Holographic Interferometry Applications (S P I E Milestone Series) Society of Photo Optical? Rajpal S. Sirohi? SocietyofPhotoOptical? RajpalS.Sirohi? ジャンル別? Subjects-Engineering-ComputerTechnology-Holography? Subjects-Engineering-ComputerTechnology-ImagingSystems? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-ComputerTechnology-Holography? Subjects-Professional&Technical-Engineering-ComputerTechnology-ImagingSystems? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-MaterialsScience-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Optoelectronics of Solar Cells (Spie Press Monograph, Pm115) Society of Photo Optical? Greg P. Smestad? SocietyofPhotoOptical? GregP.Smestad? ジャンル別? Subjects-Computers&Internet-ComputerScience-Circuitry-Optoelectronics? Subjects-Engineering-Electrical&Electronics-ElectricityPrinciples? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-Electrical&Electronics-Optics-Optoelectronics? Subjects-Engineering-General? Subjects-Health,Mind&Body-Psychology&Counseling-Psychiatry? Subjects-Medicine-Specialties-Psychiatry-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-ElectricityPrinciples? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-Optoelectronics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-Medicine-InternalMedicine-Psychiatry-General? Subjects-Professional&Technical-ProfessionalScience-Physics-Energy? Subjects-Science-General Subjects-Science-Physics-Optics? Subjects-Science-Technology-RenewableEnergy? Subjects-Science-Medicine-Specialties-Psychiatry-General? Electroactive Polymer Actuators and Devices (Eapad) SPIE Society of Photo-Optical Instrumentation Engi? Yoseph Bar-Cohen? SPIESocietyofPhoto-OpticalInstrumentationEngi? YosephBar-Cohen? ジャンル別? Substores-UnknownASINs-22? Subjects-Computers&Internet-ComputerScience-Circuitry-Communication&SignalProcessing? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-Technology-General&Reference Smart Structures and Integrated Systems (Smart Structures Integrated Systems) SPIE Society of Photo-Optical Instrumentation Engi? L. Porter Davis? SPIESocietyofPhoto-OpticalInstrumentationEngi? L.PorterDavis? ジャンル別? Substores-UnknownASINs-22? Subjects-Engineering-Civil-Structural? Subjects-Engineering-Electrical&Electronics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Civil-Structural? Subjects-Professional&Technical-Engineering-Electrical&Electronics-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Nanostructures? Subjects-Science-Physics-Nanostructures? Subjects-Science-Technology-General&Reference Infrared Detectors and Focal Plan Arrays VII 2-3 April, 2002, Orlando, Florida USA (Proceeding Series) Society of Photo Optical? Robert E. Sampson? Eustace L. Dereniak? Society of Photo-Optical Instrumentation Engineers? SocietyofPhotoOptical? RobertE.Sampson? EustaceL.Dereniak? SocietyofPhoto-OpticalInstrumentationEngineers? ジャンル別? Substores-UnknownASINs-22? Subjects-Engineering-Aerospace? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Aerospace? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Adaptive Image Processing A Computational Intelligence Perspective (Spie Press Monograph) SPIE-International Society for Optical Engine? Stuart William Perry? Hau-San Wong? Ling Guan? SPIE-InternationalSocietyforOpticalEngine? StuartWilliamPerry? Hau-SanWong? LingGuan? ジャンル別? Subjects-Computers&Internet-ComputerScience-Circuitry-Communication&SignalProcessing? Subjects-Computers&Internet-ComputerScience-ArtificialIntelligence-General? Subjects-Engineering-ComputerTechnology-ImagingSystems? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-ComputerTechnology-ImagingSystems? Subjects-Professional&Technical-Engineering-General An Engineering Introduction to Biotechnology (Spie Press Monograph, Tt55) Society of Photo Optical? J. Patrick Fitch? SocietyofPhotoOptical? J.PatrickFitch? ジャンル別? Subjects-Engineering-Bioengineering-Biotechnology? Subjects-Engineering-General? Subjects-Medicine-SpecialTopics-Biotechnology? Subjects-Professional&Technical-Engineering-Bioengineering-Biotechnology? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-BasicSciences-Biotechnology? Subjects-Professional&Technical-Medical-BasicSciences-Biology? Subjects-Professional&Technical-ProfessionalScience-BiologicalSciences-Biotechnology? Subjects-Professional&Technical-ProfessionalScience-Physics-Optics? Subjects-Science-General Subjects-Science-Physics-Optics? Subjects-Science-BiologicalSciences-Biotechnology? Subjects-Science-BiologicalSciences-Biology-General? Subjects-Science-Medicine-SpecialTopics-Biotechnology? Optical Information Processing A Tribute to Adolf Lohmann (Spie Press Monograph, Pm117) Society of Photo Optical? Adolf W. Lohmann? H. J. Caulfield? SocietyofPhotoOptical? AdolfW.Lohmann? H.J.Caulfield? ジャンル別? Subjects-Engineering-ComputerTechnology-ImagingSystems? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-ComputerTechnology-ImagingSystems? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Mathematical Techniques for Engineers and Scientists (Spie Press Monograph, Pm118) Society of Photo Optical? Larry C. Andrews? Ronald L. Phillips? SocietyofPhotoOptical? LarryC.Andrews? RonaldL.Phillips? ジャンル別? Substores-UnknownASINs-22? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Mathematics-Applied-General? Subjects-Professional&Technical-ProfessionalScience-Mathematics-MathematicalAnalysis? Subjects-Science-General Subjects-Science-Mathematics-General? Subjects-Science-Mathematics-MathematicalAnalysis? Subjects-Science-Mathematics-Applied-General? International Trends in Applied Optics (Spie Press Monograph, Pm119) Society of Photo Optical? Arthur H. Guenther? SocietyofPhotoOptical? ArthurH.Guenther? ジャンル別? Subjects-Computers&Internet-ComputerScience-Circuitry-Optoelectronics? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-Electrical&Electronics-Optics-Optoelectronics? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-Optoelectronics? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-ProfessionalScience-Physics-Optics? Subjects-Science-General Subjects-Science-Physics-Optics? Subjects-Science-Physics-Applied? Subjects-Science-Technology-General&Reference Fourth Oxford Conference on Spectroscopy Society of Photo Optical? Art Springsteen? SocietyofPhotoOptical? ArtSpringsteen? ジャンル別? Substores-UnknownASINs-63? Subjects-Engineering-General? Subjects-Medicine-Specialties-Pathology-ClinicalChemistry? Subjects-Professional&Technical-Engineering-General Subjects-Professional&Technical-Medical-Medicine-InternalMedicine-Pathology-ClinicalChemistry? Subjects-Professional&Technical-ProfessionalScience-Physics-Statics? Subjects-Science-General Subjects-Science-Physics-Optics? Subjects-Science-Physics-Statics? Subjects-Science-Medicine-Specialties-Pathology-ClinicalChemistry? Integrated Optomechanical Analysis (Tutorial Texts in Optical Engineering, V. Tt 58,) Society of Photo Optical? Keith B. Doyle? Victor L. Genberg? Gregory J. Michels? SocietyofPhotoOptical? KeithB.Doyle? VictorL.Genberg? GregoryJ.Michels? ジャンル別? Subjects-Engineering-Aerospace-AdvancedMechanics? Subjects-Engineering-Civil-Mechanics? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-Civil-Mechanics? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-Aerospace-AdvancedMechanics? Subjects-Professional&Technical-Engineering-Mechanical-General? Subjects-Professional&Technical-Engineering-General Subjects-Science-General Optical Transmission Systems and Equipment from Wdm Networking (SPIE) SPIE Society of Photo-Optical Instrumentation Engi? Benjamin B. Dingel? et al? SPIESocietyofPhoto-OpticalInstrumentationEngi? BenjaminB.Dingel? etal? ジャンル別? Substores-UnknownASINs-22? Subjects-Engineering-Electrical&Electronics-Optics-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-Electrical&Electronics-Optics-General? Subjects-Professional&Technical-Engineering-General Second International Conference on Image and Graphics (SPIE) SPIE Society of Photo-Optical Instrumentation Engi? Wei Sui? SPIESocietyofPhoto-OpticalInstrumentationEngi? WeiSui? ジャンル別? Substores-UnknownASINs-22? Subjects-Computers&Internet-General? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General First Jet Propulsion Laboratory in Situ Instruments Workshop Society of Photo Optical? Gregory H. Bearman? SocietyofPhotoOptical? GregoryH.Bearman? ジャンル別? Substores-UnknownASINs-63? Subjects-Engineering-General? Subjects-Professional&Technical-Engineering-General Subjects-Religion&Spirituality-Christianity? Subjects-Science-General Subjects-Science-Astronomy-SolarSystem? Subjects-Professional&Technical-Engineering-General 洋書